Symposium Organizers
" " Brookhaven National Laboratory
Hongjin Fan Nanyang Technological University
Mato Knez Max-Planck-Institute of Microstructure Physics
Woo Lee Korea Research Institute of Standards and Science (KRISS)
Stanislaus S. Wong State University of New York-Stony Brook
Q1: Assembly for Nanowire Devices
Session Chairs
Tuesday PM, April 06, 2010
Room 2018 (Moscone West)
9:30 AM - **Q1.1
Compound Semiconductor Nanomaterials for Flexible/Stretchable Electronics.
John Rogers 1
1 , University of Illinois, Urbana, Illinois, United States
Show AbstractCompound semiconductors such as gallium arsenide provide advantages over silicon for many applications, due to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio frequency electronics and most forms of optoelectronics. Abilities to integrate such materials on unusual substrates like plastic or rubber could expand their fields of use. Here we describe growth concepts that utilize functional films in single or multilayer epitaxial assemblies formed in a single deposition sequence on a growth wafer. Specialized designs enable release and separation of the individual active layers to yield large quantities of high quality material for subsequent device integration in large area formats on diverse classes of substrates, in a manner that also allows the wafer to be reused for additional growths. Demonstrations in GaAs-based metal semiconductor field effect transistors (MESFETs) and logic gates on plates of glass, thin, microscale light emitting diodes (LEDs), near infrared (NIR) imaging devices on wafers of silicon and photovoltaic (PV) modules on sheets of plastic, illustrate some capabilities. Such approaches might expand the implementation of compound semiconductors such as GaAs to applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.
10:00 AM - Q1.2
Electrically Conductive and Optically Active Porous Silicon Nanowires and Relative Photocatalytic Properties.
Yongquan Qu 1 , Lei Liao 1 , Xiangfeng Duan 1
1 Chemistry , UCLA, Los Angeles, California, United States
Show AbstractSynthesis of silicon nanowire arrays through a two-step metal-assisted chemical etching of highly doped n-type Si(100) wafers in a solution of hydrofluoric acid and hydrogen peroxide was thoroughly investigated. The morphology of as grown silicon nanowires is tunable from nonporous nanowires, nonporous/porous core/shell nanowires, and completely porous nanowires by controlling the H2O2 concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafers, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. The porous silicon nanowires have shown excellent behaviors on the photodegradation of organic pollutants, such as dyes and 4-nitrophenol.
10:15 AM - Q1.3
High-k Oxide Nanoribbons and Nanowires as Gate Dielectrics for High Mobility Top-gated Graphene Transistors.
Lei Liao 1 , Jingwei Bai 2 , YongQuan Qu 1 , Yungchen Lin 2 , Yujing Li 2 , Yu Huang 2 3 , Xiangfeng Duan 1 3
1 Department of Chemistry and Biochemistry , University of California, Los Angeles, Los Angeles, California, United States, 2 Department of Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California, United States, 3 California nanosystems Institute, University of California, Los Angeles, Los Angeles, California, United States
Show AbstractDeposition of high-k dielectrics onto graphene is of significant challenge due to the difficulties to nucleate high quality oxide on pristine graphene without introducing any defects into the monolayer of carbon lattice. Previous efforts to deposit high-k dielectrics on graphene often result in significant degradation in carrier mobility. Here we report an entirely new strategy to integrate high quality high-k dielectrics with graphene by first synthesizing free-standing high-k oxide nanostructures at high temperature and then transferring them onto graphene at room temperature. We show that high-k oxide (Al2O3, ZrO2 or HfO2) nanoribbons or nanowires can be synthesized with excellent dielectric properties. Using such nanostructures as the gate dielectrics, we have demonstrated top-gated graphene transistors with the highest carrier mobility (more than 10,000 cm2/Vs) reported to date, and a more than 10 fold increase in transconductance compared to the back-gated devices. This method opens a new avenue to integrate high-k dielectrics on graphene with the preservation of the pristine nature of graphene and high carrier mobility, representing an important step forward to high performance graphene electronics.
10:30 AM - Q1.4
Directing the Assembly of Flexible Semiconductor Nanowires.
Michael (Chih-Pin) Wang 1 , Byron Gates 1
1 Chemistry, Simon Fraser University, Burnaby, British Columbia, Canada
Show AbstractIncorporating solution-phase synthesized one dimensional nanostructured materials as key components in electronic devices can be impeded by poor dispersion of these nanoscale materials. This talk will address the difficulties in dispersing aggregates or bundles of nanowires, as well as techniques to direct the assembly of these structures. The anisotropic nanostructures are dispersed in solution through surface modifications. Following nanowire dispersion, electrokinetic forces are used to assemble these materials in between electrodes. The assembled structures can span over multiple length scale (i.e., from micron-scale to macroscopic lengths), and can be isolated from solution for further manipulation. Electrical characterization, such as conductivity and photoconductivity, are performed on the organized nanowires demonstrating the utility of the dispersion and assembly techniques for the fabrication of functional devices.
10:45 AM - Q1.5
Electrical and Structual Characterization of PEDOT Nanowires.
David Taggart 1 , Sheng-Chin Kung 1 , Yongan Yang 1 , Travis Kruse 1 , Reginald Penner 1
1 Chemistry, UCI, Irvine, California, United States
Show AbstractConducting polymer materials have received an increasing amount of interest because they are a cheap, renewable source that can be implemented into many devices such as organic field effect transmitters and solar cells. Here we report the fabrication of poly(3,4-ethylenedioxythiophene) (PEDOT) nanowires by lithographically patterned nanowire electrodeposition (LPNE). This method employs photo-patterened nickel nano-trench electrodes as a synthesis template. The height of the nano-trench is well defined and ranges from 10 nm to 100nm. The cyclic electrodeposition of PEDOT fills these trenches producing nanowires of uniform, and controllable width. PEDOT nanowires can be electrically continuous for up to a cm in length. The electrical conductivity of a single PEDOT nanowire was measured to be ~2000 S/m using a four-probe technique. The Seebeck coefficient of PEDOT nanowires that are 70nm high by 400 nm wide by 200 μm long has been measured to be -62 μV/K at 300 °K and -45 μV/K for nanowires that are 40 nm high by 300 nm wide by 200 μm long at 300 °K. We also report the temperature dependence of the Seebeck coefficient and electrical conductivity.
11:00 AM - Q1: Device
BREAK
Q2: Nanowires I
Session Chairs
Tuesday PM, April 06, 2010
Room 2018 (Moscone West)
11:30 AM - **Q2.1
Metal-assisted Fabrication and Applications of Si Nanowires Array.
Shuit-Tong Lee 1 , Kui-Qing Peng 2
1 Center of Super-Diamond and Advanced Films (COSDAF) and Department of Physics and Materials Science, City University of Hong Kong, Hong Kong China, 2 Beijing Normal University, Beijing Radiation Center , Beijing Academy of Science and Technology, Beijing China
Show AbstractWe report the fabrication of wafer-scale highly-aligned single-crystal silicon nanowires (SiNWs) via metal-assisted electroless etching of Si wafers. The strategy is based on metal-induced (such as Ag, Au etc) excessive local oxidation and dissolution of silicon substrate in an aqueous fluoride solution. The autonomous motion behavior of metal particles in Si, the mechanisms of nanowire formation, and their dependence on the crystal orientation are systematically investigated. Highly-ordered, patterned silicon nanowires can be readily obtained by the combination of lithography (such as nanosphere lithography and photolithography) and metal-assisted electroless etching. The periodicity and dimensions of SiNWs can be controlled by lithography and etching conditions. Significantly, the as-prepared SiNWs have rough or porous surface with extremely large surface areas. Further, SiNWs inherit the electrical characteristics of mother silicon wafers, thus requiring no doping processing to achieve or control conductivity. The electrical transport and photoelectrochemical measurements show the single-crystal SiNWs are electrically conductive and very photoactive. Such SiNWs open myriad opportunities for silicon-based optoelectronics, energy conversion devices (e.g. photovoltaics and battery electrodes), and chemi- and bio-sensing. Results will be presented to demonstrate the exciting potential of the SiNWs arrays for superior anode materials in rechargeable lithium battery, high-efficiency photoelectrochemical solar cells (conversion efficiency ≥8.1%), and as excellent surface-enhanced Raman scattering (SERS) substrate for ultrasensitive molecular sensing. 1 Authors to whom correspondence should be addressed; Electronic mail:
[email protected] 12:00 PM - Q2.2
Organometallic Vapor Phase Epitaxy of Porous GaN Nanorods With Controlled Nanopore Diameter.
Isaac Wildeson 1 3 , David Ewoldt 2 3 , Robert Colby 2 3 , Eric Stach 2 3 , Timothy Sands 1 2 3
1 School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana, United States, 3 Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 2 School of Materials Engineering, Purdue University, West Lafayette, Indiana, United States
Show AbstractPorous semiconductors are interesting materials for sensing, catalysis and heteroepitaxy. The ability to control the position and diameter of nanopores allows for manipulation of ionic and molecular flows [1], and the fabrication of nanocontainers used for “smart” extraction and gene delivery systems [2]. GaN is an attractive semiconductor for nanopore applications as it is mechanically robust, electrically and optically active, and biologically compatible. In order to obtain optimal functionality from GaN nanopores, the walls should be lined with epitaxial GaN. Thus, it is beneficial to develop a process for direct growth of nanopores with controlled diameter and spacing within GaN via organometallic vapor phase epitaxy. We have developed such a process using selective area growth through a dielectric template. The result is GaN nanorods with faceted hexagonal pyramid caps and a single nanopore of uniform diameter running axially through their center. By this method, the diameter of the nanorod as well as the diameter of the nanopore can be tuned by varying the size of the opening in the dielectric template as well as the GaN growth time. GaN nanorods containing axial nanopores with diameters ranging from ~15 nm to ~145 nm have been fabricated. The key to the formation of the nanopore is the limited area of nucleation at the base of the nanorod. Prior to growth, circular openings were etched via focused ion beam through a dielectric template and then into the underlying GaN to a depth greater than 300 nm. When GaN growth initiates, nucleation occurs on the side walls of the etched opening in the GaN only within ~80 nm of the dielectric template/GaN interface. Due to the low V/III ratio employed, the GaN grows faster in the vertical direction than radially inward from the nucleation sites. The radial growth rate is found to be constant and independent of the diameter of the template opening, resulting in the nanopore diameter scaling linearly with the template opening diameter for a fixed growth time. The diameter of the nanopore can be decreased by increasing the growth time. After a sufficient growth time, the nanopore fills completely, resulting in a solid GaN nanorod. We will discuss in detail the fabrication process for porous GaN nanorods, and will provide cross-sectional transmission electron microscopy (TEM) examinations of the nanopore structure. TEM is also used to evaluate the presence of any defect structures in the porous or complete nanorods. In addition, the growth mechanisms responsible for the selective nucleation on the GaN side walls below the dielectric template and the persistence of uniform, cylindrical nanopores during growth will be presented.This material is based on work supported by the Department of Energy under Award No. DE-FC26-06NT42862.[1]. S.B. Lee, et al., J. Am. Chem. Soc. 124, 11850 (2002)[2]. D.T. Mitchell, et al., J. Am. Chem. Soc. 124, 11864 (2002)
12:15 PM - Q2.3
Aligned NiO Nanowire Array by a Metal-etching-oxidation Method.
Tom Wu 1 , Zhipeng Wei 1
1 , Nanyang Technological University, Singapore Singapore
Show AbstractNickel oxide (NiO) is a technologically important semiconductor material, and has been extensively studied due to its potential uses in nonvolatile memory devices, gas sensors, batteries, and so on. Construction of aligned ensembles of metal oxide nanostructures may advance their potential applications. For the growth of well-ordered NiO nanowire array, however, none of the current synthesis methods is effective. In this study, we invented a novel metal-etching-oxidation (MEO) method which utilizes metal etching and concurrent oxidation process to synthesize NiO nanowire array. The growth of NiO nanostructures was carried out in a home-built tube-furnace-based vapor transport system. Nickel (Ni) foil was used as both the substrate and the nickel source. Nickel chloride (NiCl2) powder serves as the additional Ni source and generates Cl2 etching. NiO nanostructure was synthesized by simultaneous Cl2-assisted etching through the polycrystalline NiO scale and gentle oxidation. The as-grown nanowires are single crystal and show uniform diameter and length. The growth axis is along the [200] direction. The diameter (from 80 to 500 nm) and the length (from 1 to 10 μm) can be controlled by the growth temperature and the O2 content, respectively. This method gives a new route to synthesize metal oxide nanostructures, and helps to advance the studies on their functionalities.
12:30 PM - Q2.4
Controlled Synthesis of Hyper-branched Nanowires: Simultaneous Incorporation of Catalyst-assisted VLS and VSS Growth Mechanisms.
Derek Johnson 1 , Amy Prieto 1 , Beth Guiton 2
1 Chemistry, Colorado State University, Fort Collins, Colorado, United States, 2 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractThe study of nanoscale materials, such as nanoparticles, carbon nanotubes, and nanowires, has led to an explosion of research in the last few years due to the new properties that emerge as a function of reduced size as well as ultra-high surface area. One such tool that has proven to be extremely useful for the synthesis of high-quality nanoscale materials is chemical vapor deposition (CVD). Chemical vapor deposition has been used to explore an increasingly large number of vapor phase transport mechanisms and reaction systems. This simple approach has been used to fabricate nanoscale materials of many single elements and binary compounds. One way to exert partial control over the stoichiometry of the final product is to use catalyst particles to dissolve gaseous precursors, resulting in the nucleation and growth of nanowires.The popularity of catalyst-assisted growth has increased over the past ten years resulting in numerous nanoscale materials and devices. Beautiful examples of nanowire superlattices, core-shell structures, and doped materials have been synthesized. With respect to branching nanowires synthesized using a catalyst nanoparticle to initiate growth, examples have been reported in the literature using a single mechanism such as VLS and SLS. To the authors’ knowledge, however, this is the first example in which a combination of catalyst-assisted growth mechanisms, vapor-liquid-solid (VLS) and vapor-solid-solid (VSS), have been employed to synthesize branched nanowires.Utilizing both the VLS and VSS growth mechanisms, a hyper-branched nanowire structure can be synthesized in which the backbone diameter is c.a. 100 nm, the branch diameter is c.a. 40 nm, and the spacing between the branching is c.a. 150 nm. The characteristic Au tip is observed at the end of the backbone, for which the growth mechanism is VLS. As for the branching, the material for the catalytic particle is also introduced through the Au catalyst tip. It travels through the backbone until it emerges at the surface. Once exposed to gaseous precursors on the surface of the backbone, epitaxial branched wire growth commences by way of the VSS growth mechanism. SEM images, high-resolution transmission electron microscopy coupled with energy-dispersive X-ray spectroscopy (HRTEM-EDX) data, pseudo binary phase diagrams, and calculated growth rates for the backbone and branches will be presented to support the proposed growth mechanism.We thank the US DOE Division of Materials Science and Engineering and ORNL Wigner Fellowship program for financial support.
12:45 PM - Q2.5
Cu-doped ZnO Nanowires: The Ultimate NanoMagnets.
Guozhong Xing 1 , Jiabao Yi 2 , Dandan Wang 1 , Lei Liao 3 , Zhou Zhang 1 , Gongping Li 1 , Ting Yu 1 , Zexiang Shen 1 , Alfred Cheng Hon Huan 1 , Jun Ding 2 , Tze Chien Sum 1 , Tom Wu 1
1 Division of Physics and Applied Physics, Nanyang Technological University, Singapore Singapore, 2 Department of Materials Science and Engineering, National University of Singapore, Singapore Singapore, 3 Department of Chemistry and Biochemistry, University of California, Los Angeles, California, United States
Show AbstractThe prospect of incorporating magnetic properties into semiconductor devices has provoked intensive research in developing diluted magnetic semiconductors (DMS). There have been many reports on DMS with magnetic ordering temperatures above 300 K, in particular, on transition-metal-doped ZnO. Even though that the dopants are dilute, there are still concerns that clustering of magnetic dopants can lead to ferromagnetic orders that are not intrinsic to the oxide host. In that sense, Cu as the dopant can eliminate the possibility of ferromagnetic clusters because that Cu and related oxides do not possess strong ferromagnetic properties. In this work, we carried out a comparative study of the room-temperature ferromagnetism in Cu-doped ZnO nanowires (NWs) prepared by two distinct vapor-transport-based approaches. In the first method, we doped the ZnO powders first with Cu before the NW growth. In the second method, Cu was sputtered on aligned pure ZnO NWs first and then the doping was achieved by high temperature annealing. Both samples exhibit clear hysteresis loops, suggesting room-temperature ferromagnetism. However, the magnetization of the second method sample is much stronger than that of the first method. We attribute this contrast to the much higher degree of structural inhomogeneity in the NWs made with the second approach. Such inhomogeneity may favor the formation of bound magnetic polarons and their percolation helps to establish long range ferromagnetism. Therefore, our experiment suggests that the bound magnetic polarons enhance the room-temperature ferromagnetism in Cu-doped ZnO NWs.
Q3: Nanowires II
Session Chairs
Tuesday PM, April 06, 2010
Room 2018 (Moscone West)
2:30 PM - **Q3.1
Vectorial Growth of VLS Nanowires by the Local Temperature Gradient.
Moon-Ho Jo 1 2
1 Materials Science and Engineering , Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea (the Republic of), 2 Division of Advanced Materials Science, Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea (the Republic of)
Show AbstractSelf-organized ensemble formation in nature is often found during the crystal growth at the various length scales, as in the prominent examples of block-copolymers, colloidal crystals, and solidification of alloys. The integrated nanowire (NW) ensemble, which can serve as a common ground for the various applications into electronic circuits, biological probes and energy conversion vehicles, require such spontaneous ordering over a large anisotropic energy barrier set at the different length scales in the NW axial and radial directions. Thereby manipulating NW growth directions by a self-organized manner can provide momentous advantages for such integrated NW systems. In vapor-liquid-solid (VLS) NW growth, however, the nucleation at the eutectic liquid catalyst is isotropically random, thus the NW growth direction is arbitrarily different from NW to NW, lacking in massive parallelism. Here, we demonstrate a simple and robust growth to coherently command the growth direction of VLS NWs with a local kinetic control over the V-L-S interfaces at the nanometer scale. Specifically, it is shown that the presence of the temperature gradient spontaneously reorganizes the NW growth direction in parallel to its local gradient at an increased growth rate. We provide a phenomenological model for the directional NW growth within the framework of the interfacial thermodynamic stability. In particular, we discuss the role of the temperature gradient on the redistribution of a local kinetic variable, i.e. local interfacial supersaturation, on the thermodynamic stability at the fluctuating V-L and L-S interfaces. Our growth scheme is universally applicable regardless of the growth substrates, thereby provides practical implication for integrated NW growth.
3:00 PM - Q3.2
Chemical Transformations From the Templates of Nanostructured Chalcogenide Semiconductors.
Unyong Jeong 1 , Geon Moon 1 , Sungwook Ko 1 , Minwoo Park 1 , Yoo Min 1
1 Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of)
Show AbstractSolution-based material preparation facilitates production in large quantity. Chemical transformation by templating readily-obtainable starting materials can diversify the chemical species we can obtain. This approach is especially useful for the attempt to synthesize materials that are not directly producible. This talk will demonstrate massive preparation of semiconducting nanowires, quantum dots, quantum chains, and nanorods. Chemical transformation will be suggested as a versatile pathway in a controlled shape and size. Among the various semiconducting nanomaterials, the presentation limits the scope in chalcogenides that are compound semiconductors including S, Se or Te. The materials dealt with in this talk include nanoparticles and nanowires of Se, Te, CdSe, CdTe, Ag2Te, Ag2Se, Bi2Se3, Bi2Te3, CuIn1-xGaxSe (CIGS), etc. The alignment of the nanostructured materials in a designed position and direction will also be presented.
3:15 PM - Q3.3
Vapor-phase Synthesis of One-dimensional ZnS, CdS and ZnxCd1-xS Nanostructures.
Tianyou Zhai 1 , Yoshio Bando 1 , Xiaosheng Fang 1 , Ying Ma 2 , Jiannian Yao 2 , Dmitri Golberg 1
1 , National Institute for Materials Science, Tsukuba Japan, 2 , Institute of Chemistry, Chinese Academy of Sciences, Beijing China
Show Abstract One-dimensional (1D) nanostructures such as nanowires, nanobelts, nanotubes and nanorods have stimulated an increasing interest due to their importance in basic scientific research and potential technological applications. They are expected to play important roles as both interconnects and the key units in fabricating electronic, optoelectronic, electrochemical, and electromechanical devices with nanoscale dimensions. As important II-VI semiconductors, ZnS and CdS are ones of the first semiconductors discovered and probably ones of the most important electronic and optoelectronic materials with prominent applications in nonlinear optical devices, flat panel displays, light emitting diodes, laser, logic gates, transistors, etc. Vapor-phase methods are the kinds of approaches in which a crystal is grown by depositing the material directly from a vapor or a gaseous state. It is probably the most extensively explored approach forming 1D nanostructures. In principle, it is possible to process any solid material into 1D nanostructures by controlling the synthesis conditions. Several techniques can be assigned to vapor-phase methods, such as thermal evaporation, chemical vapor deposition (CVD), and metal-organic chemical vapor deposition (MOCVD). This article reviews the most recent activities in ZnS and CdS nanostructures, with an emphasis on our own results, and on 1D ZnS and CdS nanostructures, especially on those synthesized using vapor deposition techniques. The review begins with a survey of ZnS and CdS nanostructures, and then is primarily focused on their 1D nanostructures, syntheses, characterizations, formation mechanisms, optical and field-emission properties. Additionally, developments of ZnxCd1-xS composite nanostructures, including nanocombs and zigzag nanowires are also discussed.Acknowledgement: This work was supported by the Japan Society for Promotion of Science (JSPS) and World Premier International Center (WPI) for Materials Nanoarchitectonics (MANA) of National Institute for Materials Science (NIMS), Tsukuba, Japan.References: Adv. Funct. Mater. 2009, 19, 2423-2430; ACS Nano 2009, 3, 949-959; J. Soild State Chem. 2008, 181, 950-956; Adv. Mater. 2007, 19, 2593-2596; Cryst. Growth Des. 2007, 7, 488-491 and 1388-1392; J. Phys. Chem. C 2007, 111, 2980-2986 and 11604-11611; Nanotechnology 2006, 17, 4644-4649; Chem. Phys. Lett. 2006, 427, 371-374.
3:30 PM - Q3.4
Controlled Growth of Aligned Polymer Nanowires.
Hao Fang 1 2 , Wenzhuo Wu 1 , Jinhui Song 1 , Zhong Lin Wang 1
1 Materials Science & Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 College of Engineering, Peking UniVersity, Beijing, Beijing, China
Show AbstractPolymer-based NWs have potential applications in sensors, organic light-emitting diodes (OLEDs), field-effect transistors (FETs) and solar cells, but the growth of aligned organic NW arrays of a general material is challenging. Although approaches have been demonstrated using an anodic aluminum oxide (AAO) template-based technique, the alignmentof the NWs after removing the template is rather distorted and bunched. A new technique is required to fabricate polymer NW (PNW) arrays with good control over orientation, dimensionality, and location. Recently, we have demonstrated a novel technique for fabrication of patterned and aligned polymer nanowire arrays on a wafer-level substrate of any material.[1] Now we expand the technique for fabricating aligned NWs of any polymer with a control over the growth density and length to meet the needs of future device fabrications.[2] Polymer NWs to be presented include but are not limited to PMMA (poly(methyl methacrylate)), PS (polystyrene), PDMS (polydimethylsiloxane), PEDOT/PSS (poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate)), PEN (polyethylene naphthalate), PET (polyethylene terephthalate), and Kapton film (Dupont). Instead of using surface roughness first created on the polymer film surface, we deliberately sputtered a thin layer of metal nanoparticles on the substrate, which serve as the “nanomasks” for ion irradiation, resulting in the initial roughness for further etching. The density and length can be easily controlled via depositing different thicknesses of Au and using different ICP etching times. This technique uses the masking effect of the Au particles deposited on the surface. Our study demonstrates an effective approach for creating functional organic NW arrays for applications in sensors, electronics, biomaterials and energy materials.[1]Morber, J. R.; Wang, X.; Liu, J.; Snyder, R. L.; Wang, Z. L. Adv. Mater. 2009, 21, 2072.[2]Fang, H.; Wu, W.; Song, J.; Wang, Z. L. J. Phys. Chem. C 2009, 113, 16571.
3:45 PM - Q3.5
Motility of Silver Nanorods in Metal-assisted Chemical Etching of Silicon.
Owen Hildreth 1 , Ching Wong 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractOur group recently developed a novel method to etch 2D and 3D nanostructures in silicon with smooth walls and with relatively high aspect ratios ranging from 10:1 to 50:1 using metal-assisted chemical etching (MaCE) of silicon in conjunction with shaped catalysts.[1, 2] In MaCE a metal catalyst is used to generate a local galvanic cell across the catalyst that locally increase the dissolution rate of silicon in an etchant solution of hydrofluoric (HF) acid and hydrogen peroxide (H2O2). Unlike other etching techniques were a pattern of material remains on the top surface acting as a mask, in MaCE the metal catalyst moves into the substrate at the silicon around and beneath the catalyst dissolves. Because the catalyst can travel in 3 dimensions while continuing to etch it is possible to create 3D patterns in the silicon with straight, curved, helical, and random, etching paths reported for Pt, Au and Ag nanoparticles and colloids. More recently, our group has reported on the effects of catalyst shape on etching direction and showed that cycloids, spirals, sloping channels, “S” shaped channels and more can be fabricated by controlling catalyst shape to create complex, 2D and 3D nanostructures with extremely smooth walls.[1, 2] Our previous work with Ag nanorods and electron beam lithography (EBL) patterned catalysts showed that not only does catalyst shape effect etching direction, but that the catalyst can etch both into and out of the silicon substrate; demonstrating the gravity is not the only force acting on the catalyst during MaCE. K. Peng’s et al examined the motility of Ag nanoparticles during MaCE, they proposed that the coupled anodic and cathodic reactions generate an electric field across the metal nanoparticle, which results in self-induced electrophoretic motion toward the silicon anode with the Ag particle slip velocity given by the Hünkel.[3] In Peng’s paper, the electrophoretic mechanism was not rigorously investigated nor proven. In this paper we will thoroughly examine both and compare the etching direction of Ag nanoparticles and Ag nanorods under the influences of electrophoresis and Brownian motion. Analytical and computational models will be compared against our previous results to determine how the catalyst particles move during MaCE and how factors such as etchant composition and catalyst shape effect etching direction.[1].O. Hildreth, Y. Xiu, and C. P. Wong, “Wet Chemical Method to Etch Sophisticated Nanostructures into Silicon Wafers using sub-25nm Feature Sizes and High Aspect Ratios,” 2009, [2].O. Hildreth, W. Lin, and C. P. Wong “Use of Metal-assisted Chemical Etching of Silicon to Fabricate 3D Nanostructures: Effect of Catalyst Shape and Etchant Composition on Etching Direction,” ACS Nano, [3].K. Peng, A. Lu, R. Zhang, and S. Lee “Motility of Metal Nanoparticles in Silicon and Induced Anisotropic Silicon Etching,” Advanced Functional Materials, 2008, vol. 18, no. 19, pp. 3026-3035.
4:30 PM - **Q3.6
Recent Progresses on ZnS Nanostructures.
Xiaosheng Fang 1 , Yoshio Bando 2 , Tianyou Zhai 2 , Ujjal Gautam 1 , Liang Li 2 , Meiyong Liao 3 , Dmitri Golberg 2
1 International Center for Young Scientists (ICYS), National Institute for Materials Science (NIMS), Tsukuba, Ibaraki, Japan, 2 International Center for Materials Nanoarchitectonics(MANA), National Institute for Materials Science (NIMS), Tsukuba, Ibaraki, Japan, 3 Sensor Materials Center, National Institute for Materials Science (NIMS), Tsukuba, Ibaraki, Japan
Show Abstract Inorganic semiconductor nanostructures are ideal systems for exploring a large number of novel phenomena at the nanoscale and investigating the size and dimensionality dependence of their properties for potential applications.[1] The use of such nanostructures with tailored geometries as nanoscale photodetectors have attracted tremendous attentions due to high surface-to-volume ratios (SVR) and rationally designed surfaces in the past few years. For example, these materials have been assembled into nanoscale ‘visible-light-blind’ or ‘solar-blind’ ultraviolet (UV)-light sensors with high sensitivity and selectivity. Zinc sulfide (ZnS), is one of the first semiconductors discovered and one of the most important materials in the electronics with a wide range of applications, including LEDs, electroluminescence, flat panel displays, infrared windows, sensors, lasers, and biology etc.[2] The recent progresses on ZnS nanostructures and its application as nanoscale field-emitters and photodetectors will be presented. Firstly, we begin with a historical background of ZnS, description of its structure, chemical and electronic properties, the possible reasons for the investigation of their nanostructures, and the unique properties of ZnS nanostructures as some nanoscale devices, followed by a survey of ZnS nanostructures with various morphologies and their corresponding synthesis methods, including immaculate control over the growth of ZnS nanostructures in various sizes and shapes by various methods, including the first fabrication of hexagonal faceted ZnS single-crystal nanotubes and micrometer long quantum confined ZnS nanobelts, and ZnS nanobelt arrays etc.[3-5] Subsequently, we would discuss the critical experiments determining the electrical, chemical and physical properties of the nanostructures, as crucially they changes with synthetic conditions. Finally, the latest achievements on its application using ZnS nanostructures will be discussed. [6] Acknowledgment: This work was supported by World Premier International Research Center (WPI) Initiative on Materials Nanoarchitectonics (MANA), MEXT, Japan.References: [1] X. S. Fang, Y. Bando et al J. Mater. Chem. 18 (2008) 509-522. [2] X. S. Fang, Y. Bando et al Crit. Rev. Solid State Mat. Sci. 2009 in press[3] X. S. Fang, L. D. Zhang et al Adv. Funct. Mater. 15 (2005) 63-68.[4] J. Yan, X. S. Fang, L. D. Zhang et al Nano Lett. 8 (2008) 2794-2799.[5] X. S. Fang, Y. Bando et al Adv. Mater. 19 (2007) 2593-2596; Chem. Commun. (2007) 3048-3050; J. Phys. Chem. C. 112 (2008) 4735-4742.[6] X. S. Fang, Y. Bando et al Adv. Mater. 21 (2009) 2034-2039.
5:00 PM - Q3.7
Growth of Conformal GaP Layers on Si Wire Arrays for Solar Fuel Generation.
Adele Tamboli 1 , Manav Malhotra 1 , Dan Turner-Evans 1 , Michael Kelzenberg 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractMicrowire arrays present nearly optimal geometry for solar energy conversion in materials with limited minority carrier diffusion length, as they decouple the absorption length and minority carrier diffusion distances, allowing for absorption of nearly all incident light while enabling efficient extraction of carriers. Solar fuel generation systems can be envisioned which consist of catalyst-decorated wire arrays as the anode and cathode, separated by an ion-permeable membrane. For solar fuel generation, the wire array geometry has the added benefit of high surface area, enabling a high chemical reduction rate even for catalysts with a limited turnover frequency per area. We have previously shown that we can synthesize high fidelity, vertical silicon wire arrays with high aspect ratio using Cu-catalyzed vapor-liquid-solid growth[1]. For both water splitting and CO2 reduction, however, it is necessary to use a semiconductor with a wider bandgap than Si, such as GaP, to enable open circuit voltages exceeding 1.23 V. GaP is a promising candidate for solar fuel conversion because it has been shown to have catalytic properties in reducing CO2 to several products, including CO and methanol[2]. GaP is also stable under reduction, and its bandgap of 2.26 eV is well matched to the solar spectrum.To make GaP wire arrays, we have synthesized epitaxial GaP layers on Si wire arrays using metal-organic chemical vapor deposition with trimethyl gallium and phosphine precursors. We use a two-step growth procedure with a 40 nm thick nucleation layer grown at 530C followed by 300 nm to 2 um of GaP grown at 750C. These layers are either p-type or n-type, depending on the V/III ratio during growth. We have been able to grow conformal GaP coatings several microns thick on high aspect ratio Si wire arrays between 10 um and 60 um in length. Longer Si wire templates resulted in coalescence of the GaP into planar films on top of the wires. We have characterized our GaP coated Si wires using x-ray diffraction, photoluminescence, and electron microscopy, and the films are epitaxial and can be single crystalline or polycrystalline depending on growth and sample preparation conditions. Integrating sphere measurements show that our GaP/Si wire arrays, when embedded in polymer and removed from the substrate, absorb almost all of the above-bandgap incident light. We will present further optical characterization of these wire arrays as well as electrochemical measurements of internal quantum efficiency and spectral response.[1]B.M. Kayes et al., Appl. Phys. Lett. 91, 103110 (2007)[2]E.E. Barton et al., J. Am. Chem. Soc. 130, 6342 (2008)
5:15 PM - Q3.8
Low Temperature Growth of Textured Polycrystalline Ge Films on Glass Substrates.
Shu Hu 1 , Paul McIntyre 1 2
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 , the Geballe Laboratory for Advanced Materials, Stanford, California, United States
Show AbstractVertical-aligned semiconductor nanowire (NW) arrays can be synthesized epitaxially on Ge (111) or Si (111) single crystals. Recently, as-grown vertical 1-D structures have been demonstrated for applications as sensors, electrochemical electrodes, and nanoelectronic devices. Innovative process technologies enable integration of the vertical nanowire arrays for high density 3D electronics, including vertical diodes, memory devices and 3D integrated circuits. However, for nanowire-based electrodes as well as photovoltaics, large-area non-single-crystal substrates may be needed to substitute for expensive single crystal substrates. Here, we demonstrate a method to obtain polycrystalline Ge (poly-Ge) thin films with (111)-preferred orientation on glass. Dense vertical nanowire arrays can be grown from poly-Ge thin films, as an alternative growth template for nanowires synthesis. Metal-induced crystallization of amorphous semiconductor thin films can form polycrystalline semiconductors at moderate temperatures (∼250°C). In our experiments, amorphous germanium (100∼150 nm thick) and crystalline Al (30∼50 nm thick) thin films were evaporated on fused silica substrates in sequence. However, cross-section TEM micrographs of Al/Ge bi-layer structures annealed under 200°C showed uncontrolled crystallization of Ge crystallites which were intermixed throughout the Al layer. Therefore, a barrier between Al and Ge layers was introduced prior to Al layer deposition, to control Ge diffusion from the amorphous Ge layer to the Al film surface. In this case, a well-defined poly-Ge layer was detected above the Al after annealing (200°C∼300°C), an indication of Al-induced Ge crystallization. Cross-section TEM images suggest that Ge atoms diffuse through local “weak points” in the barrier layer, and Ge crystals overgrow on top of the as-deposited Al layer. The resulting Ge crystals are microns in size as observed in plan-view TEM images. X-ray diffraction from annealed films indicates (111)-preferred orientation of Ge crystals. Furthermore, crystallization kinetics varies with the quality of barrier layers; an optimal diffusion barrier layer is needed to realize high quality Ge overgrowth. Finally, dense vertical-aligned Ge nanowires are grown on the as-annealed poly-Ge films, with a two-temperature VLS growth method reported in our previous work.
5:30 PM - Q3.9
Highly Ordered Assemblies of Monodisperse Metallic Nanostructure Arrays Utilizing a Disilicide Nanowire Template.
Talin Ayvazian 1 , Aniketa Shinde 2 1 , Ruqian Wu 2 , Regina Ragan 1
1 Chemical Engineering & Materials Science, University of California-Irvine, Irvine, California, United States, 2 Physics and Astronomy, University of California-Irvine, Irvine, California, United States
Show AbstractMetal nanostructures have demonstrated extraordinary properties: the capacity for single molecule detection in plasmon resonance biosensors, chemical sensitivity and higher performance in catalytic processes than their bulk counterparts. One of the most significant challenges is the fabrication of nanostructure arrays with monodisperse size, shape and high density using low cost and high throughput technique. We will present a unique template-based fabrication process for dense ordered arrays (~1E11 cm^-2) of bimetallic core-shell nanostructures with monodisperse size and shape, over large area ( >1mm^2), and having feature size and inter-particle spacing unattainable with electron beam lithography. A combination of scanning probe microscopy and density functional theory is used to understand the fabrication of these one dimensional structures. Noble metal deposited via physical vapor deposition on a nanowire template combined with reactive ion etching produce noble metal core-shell nanowire and nanoparticle arrays with mean feature size of approximately 8 nm. Rare earth disilicide nanostructures are used as self-assembled templates on Si(001). Scanning tunneling microscopy has shown that noble metal forms clusters on RESi2 nanowire surfaces, and scanning electron microscopy backscattered images have shown that noble metal preferentially aggregates on the nanowire surfaces as opposed to the Si substrate. Noble metal coverage is used to select nanoparticle versus nanowire arrays after reactive ion etching. Experimental variables such as annealing time and temperature are explored to optimize selective aggregation of noble metal on nanowire surfaces. The work functions of these structures can be tuned by varying size and shape of the disilicide template, as demonstrated by Kelvin Probe force microscopy. Au and Pt core-shell structures show work functions within the range of 3.7 – 4.0 eV, less than respective bulk work functions. Using the Vienna ab initio Simulation Package, theoretical modeling is combined with scanning probe microscopy for deeper insight of thermodynamics and kinetics driving template formation. We provide a platform to answer challenging issues regarding nucleation, nanowire-substrate interface, and morphology of RESi2-x nanowires on Si(001). Synergistic STM characterization and ab initio calculations reveal that the stable adsorption geometries of the wetting layer lead to nanowire orientation perpendicular to Si dimer rows and thereby in unidirectional nanowire arrays on vicinal Si(001) surfaces. Formation energy calculations for narrow YSi2 nanowires provide insight into the mechanisms that stabilize nanowire structures, such as internal strain relaxation and nanowire surface reconstruction. These studies will lead to achieving more control over the template assembly to further optimize the fabrication of bimetallic core-shell nanostructures.
5:45 PM - Q3.10
Templated Electrochemically-assisted Synthesis of Indium Oxide and Indium-Tin Oxide Nanowires, Nantubes and Their Arrays.
Nina Kovtyukhova 1 , Tom Mallouk 1
1 Chemistry, Penn State University, University Park, Pennsylvania, United States
Show AbstractTransparent conductive oxide (TCO) nanowires and nanotubes is very interesting class of materials that offers a unique possibility of size-dependent tuning of their electro-optical and related properties. There are a number of synthetic strategies for preparing TCO nanowire/tube structures, among which low-cost solution-based techniques have attracted a great deal of attention. Electrochemical deposition inside the cylindrical pores of membrane templates has now been widely used for preparing a variety of conducting wire- and tube-based nanoscale devices and heterostructures. This method allows massive production of geometrically uniform single and multicomponent 1D nanostructures. However direct electroplating of oxide materials inside the pores is difficult to achieve due to low conductivity of the deposits. Templated synthesis of metal oxide wires and tubes has mainly been realized by sol-gel based filling of the pores. In this paper we show a possibility of the electrochemically-assisted deposition (EAD) of In2O3 and ITO nanowires and nanotubes inside the pores of a membrane template. EAD techniques differ from the direct reduction or oxidation of species on the electrodes in that they exploit red/ox reactions on the electrodes for altering chemical conditions, e.g. pH, in the interfacial zone, which cause species deposition in the vicinity of the electrode surface. This makes EAD suitable for deposition of insulating and poorly conducting materials, which has been described in the literature for zinc oxide and chalcogenide films, nanowires and SnO2 nanotubes. Here we show that In2O3 and ITO nanowires and tubes can be grown inside alumina and polycarbonate membranes. At the first step, well crystallized In and In-Sn hydroxides are formed, which are then thermally converted to the polycrystalline oxide wires or tubes. Because hydroxide species form in the vicinity of the electrode they may adsorb on both the electrode surface and the pore walls. Proper modification of these two surfaces and adjustment of deposition conditions allows directing the deposition toward either wires or tubes. Dissolving of the membrane template gives wire/tube arrays attached to the metal film. Removing the metal film gives free-standing tubes or wires. These 1D nanostructures have been characterized by TEM, FESEM, XPS, XRD, optical and electrical measurements. It has been found that structure, morphology, and composition of the wires and tubes can be controlled by altering the composition of solutions, applied potential, and temperature.
Q4: Poster Session: Nanowires: Fabrications and Properties
Session Chairs
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - Q4.1
Extended Arrays of Vertically Aligned Si Nanowires by Metal-assisted Chemical Etching.
Jungkil Kim 1 2 , Young Heon Kim 2 , Suk-Ho Choi 1 , Woo Lee 2
1 , Kyung Hee University, Yongin-si, Gyeonggi-do, Korea (the Republic of), 2 , Korea Research Institute of Standards and Science, Daejon Korea (the Republic of)
Show AbstractIt has been widely accepted that the properties of the silicon nanowires (SiNWs) vary with diameter, crystallographic orientation, surface state, and lattice strain. For through understanding of the intrinsic properties and practical applications of SiNWs to advanced devices, it is important not only to synthesize SiNWs with a high degree of regularity and uniformity in terms of diameter and length, but to position them on a large substrate with a controllable manner. Recently, metal-assisted etching of Si substrates in an etching solution containing HF and an oxidant (typically, H2O2) has attracted considerable research attentions, coupled with an ever-increasing demand for the development of effective, inexpensive and technologically simple methods for the preparation of structurally well-defined SiNWs over a large area, overcoming many of the drawbacks of conventional vapor-liquid-solid (VLS) growth method. In this contribution, we report our recent progress on the fabrication of extended arrays of vertically aligned SiNWs with controllable diameter, length, and density[1-2]. Thin mesh-like porous metallic films prepared from anodic aluminum oxide (AAO) with ordered hexagonal arrays of pores[3-5] were utilized as catalyst in wet-chemical etching of silicon substrates with various crystallographic orientations. In this method, the Si surface that comes in contact with the metal is selectively etched, leaving behind arrays of vertically aligned SiNWs, whose diameter is predetermined by the size of hole in the metal mesh, while the length is determined by the etching time. By taking advantage of unique tailoring capability of the pore size and pore density of metal mesh, SiNWs with diameter ranging from 8 to 350 nm and densities from 5 x 10^8/cm^2 to 3 x 10^10/cm^2 could be prepared. The evolution of morphology of SiNWs was systematically investigated in terms of etching parameters (i.e., etching solution, temperature, catalytic metal mesh, etc.), and will be discussed in detail in this presentation.References.1. Z. Huang, X. Zhang, M. Reiche, L. Liu. W. Lee, T. Shimizu, S. Senz, U. Gösele, Nano Lett. 8, 3046 (2008).2. Z. Huang, T. Shimizu, S. Senz, Z. Zhang, X. Zhang, W. Lee, N. Geyer, U. Göele, Nano Lett. 9, 2519 (2009).3. W. Lee., R. Ji, U. Gösele, K. Nielsch, Nature Mater. 5, 741 (2006).4. W. Lee, K. Schwirn, M. Steinhart, E. Pippel, R. Scholz, U. Gösele, Nature Nanotech. 3, 234 (2008).5. W. Lee, M. Alexe, K. Nielsch, U. Gösele, Chem. Mater. 17, 3325 (2005).
6:00 PM - Q4.10
Catalytic Chemical Vapour Deposition Synthesis and Hydrogen Storage Capacity of Boron Nitride Nanostructures.
A. Leela Reddy 1 , Adrienne Tanur 2 , Gilbert Walker 1 2
1 Department of Chemistry, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Department of Chemistry, University of Toronto, Toronto, Ontario, Canada
Show Abstract Hydrogen as a fuel source is an attractive alternative to current carbon-based sources, due to its clean production from the electrolysis of water and high energy density. Currently, the lack of efficient hydrogen storage methods is a technical challenge impeding the realization of a hydrogen economy. In this work, hydrogen adsorption was investigated for various boron nitride (BN) nanostructures, including flower-type structures, bamboo nanotubes, and straight-walled nanotubes. The nanostructures were synthesized via a silica (SiO2)-assisted chemical vapour deposition (CVD) method in which the growth of BN nanostructures follows a vapour-liquid-solid (VLS) mechanism, mediated by SiO2. In this method, the catalyst consists of ferrous oxide (Fe2O3) nanoparticles supported on alumina particles (Al2O3). The nanostructures were characterized by scanning and transmission electron microscopies (SEM/TEM), Raman and Fourier transform infrared (FTIR) spectroscopies, and Brunauer, Emmett, and Teller (BET) measurements. The hydrogen storage capacities of the nanostructures were determined using a high-pressure Seiverts’ apparatus, and were found to correlate with the specific surface area for each type of nanostructure. Bamboo BN nanotubes demonstrated the highest hydrogen storage capacity of the materials studied, with a capacity of ~3.0 wt% at 298 K and 100 bar hydrogen pressure.
6:00 PM - Q4.11
Resin-assisted Growth of Oriented 1D Nanowires of Cobalt Carbonate Hydroxides and the Thermal Conversion to ``Morphology-inherited” Co3O4.
Yi Du 1 2 , Dermot O'Hare 1
1 Chemistry Department, Oxford University, Oxford, Oxfordshire, United Kingdom, 2 Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractHighly ordered arrays of two polymorphs of cobalt carbonate hydroxides (orthorhombic/monoclinic) have been grown on the surface of sulfonated styrene-divinylbenzene cation-exchanged polymer resin beads via a straightforward hydrothermal method at 100 and 140 °C, respectively. On the use of a heterogeneous cation-exchangeable polymer resin, surface interactions with the hydrolysis catalyst and a slow cation release characteristics of the resin can be achieved simultaneously. Hence, we gain more control of the growth and purity of the products of these reactions. More interestingly, morphology-inherited spinel (Co3O4) superstructures are isolated by calcinations and the morphology of the 1D nanowire structure is inherited with significant magnetic performance. Figure A exhibits the panoramic image of shell-like morphology of cobalt carbonate hydroxide growing on resin beads with an inset for the smooth surface of the Co2+-exchanged resin beads as comparison. The growth of highly-oriented needle-arrays is shown in Figure B with a cross-sectional image as inset, indicating the average length of the needles in a length scale of ca. 2-3 μm.
6:00 PM - Q4.12
Aqueous Synthesis of Wire-like CdSe Nanocrystal Thin Chains and Gram-scale Synthesis of Uniform Mesoporous CdSe Nanowires.
Sungwook Ko 1 , Geon Dae Moon 1 , Unyong Jeong 1
1 , Yonsei Uni., Seoul Korea (the Republic of)
Show AbstractCdSe nanocrystal is one of the most important II-VI semiconductor material, which has shown interesting optical, electrical, and optoelectronic properties. So, synthesis and characterization of that material is interesting from both fundamental and industrial points of view. This presentation suggests two different synthesis method of Cd(OH)2 nanowires growth and these converted to CdSe nanocrystals. One is growth of ultra thin Cd(OH)2 nanowire on the SiO2 colloids, another is gram-scale bust growth in the base solution by supersaturation and eduction. The ultra thin Cd(OH)2 nanowires are easily transformed into wire-like CdSe nanocrystal chains and mass produced Cd(OH)2 nanowires are converted to mesoporous CdSe nanowires with several tens diameters. Other merits are that the Cd(OH)2 nanowire can be further converted other chalcogenide semiconductor nanowires. Since the production scale of process is enlarged, we expect the approach may find immediate industrial applications.
6:00 PM - Q4.13
Photovoltaic Effect of p-n Hetero-junction Array With p-type Si and Aligned n-type SnO2 Nanowires.
Kyung Whon Min 1 , Gunchul Shin 1 , Yoon Chul Kim 1 , Jeong Sook Ha 1
1 , Korea University, Seoul Korea (the Republic of)
Show AbstractSince the evolution of the semiconductor technology based on nanowires, p-n junction devices have been considered to have a wide range of applications, e.g., solar cells and light emitting diodes. However, it is difficult to fabricate arrays of p-n junction device because of the difficulty in positioning nanowires. Several studies have explored candidate methods to position nanowires onto desired places, such as fluidic and solution-based assembly which required further development of large-scale integrated circuits to fabricate the array of devices. In this paper, we report on the fabrication of the p-n hetero-junction array consisting of p-Si substrate and horizontally aligned n-SnO2 nanowires, transferred from the grown Si substrate by a sliding transfer technique.Boron-doped Si channel (Ω=0.01~0.02) with Al electrodes showed an Ohmic contact properties but the p-n hetero-junction devices (channel width~ 250μm) showed a clear rectifying behavior with a rectification ratio of ~ 1.6×104 at ± 15 V. Furthermore, UV-induced photovoltaic effects were observed and electroluminescence appeared at the bias voltages higher than 15V. The light emission is from the junction area between the SnO2 nanowires and the Si substrate, confirming its potential as one of the promising candidates for room temperature luminescence materials. In addition, we will discuss the stability of such formed hetero-junction devices under ambient conditions.
6:00 PM - Q4.14
Template-assisted Growth of Tungsten Oxide Nanorods on Substrates and the Electrochemical Capacitance.
Sun Hwa Park 1 , Jae Yong Song 1 2 , Hyun Min Park 1 2
1 , University of Science and Technology , Daejeon Korea (the Republic of), 2 Division of Industrial Metrology, Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of)
Show AbstractAmorphous or crystalline tungsten oxide films have been widely studied for the application to electrochromic, photochromic, gas sensing devices, and etc. In particular, the nanostructures of tungsten oxide (TO) are expected to show the exceptional functional properties due to the high dimensional anisotropy and the high specific surface area. In this work, we synthesized the well-ordered TO nanorods on substrates by the template-assisted anodization process using the high transport number and low resistivity of TO. The effects of the deposition parameters, such as reaction rate, electrolyte temperature and AAO template pore size, on the morphology and size of TO nanorods are investigated. TO nanorods can be controlled to have conical and cylindrical shapes, respectively. The as-prepared TO nanorods with an amorphous structure can be transformed to crystalline structure by annealing process above 450 °C. The growth mechanisms of TO nanorods are discussed with the aids of FESEM, XRD, TEM, XPS, and AES analyses. And we evaluate the electrochemical capacitance of the TO nanorods for the application to the supercapacitor. Results show that they have excellent coulombic efficiency and rectangular cyclic voltammogram in 1 M sulfuric electrolytes.
6:00 PM - Q4.16
New Diamond-like Carbon Nanostructures.
Deepak Varshney 1 , Adolfo Berrios 2 , Brad Weiner 1 , Gerardo Morell 1
1 Physics, University of Puerto Rico, San Juan, Puerto Rico, United States, 2 Mathematic-Physics, University of Puerto Rico, Cayey, Puerto Rico, United States
Show AbstractA novel carbon nanostructure in the form of diamond-like carbon (DLC) nanorods has been fabricated on an iron nanopatterned silicon substrate by hot filament chemical vapour deposition (HFCVD) technique via the vapour-liquid-solid (VLS) mechanism. The uniformly deposited film is an aggregation of spherical papillae of diameters ranging from 0.2 to 0.4 µm with a plurality of nanorods emanating radially from each papilla. The nanorods are of diameters in the range of 35-45 nm. The near-K-edge carbon experimental energy loss electron microscopic (EELS) data reveals an estimated sp2 to sp3 carbon ratio of approximately 1:9. The new morphology with a large surface-to-volume ratio will add impetus to the existing applications of sp3-rich DLC films and open vistas for new uses.
6:00 PM - Q4.17
Fabricating Process and Characterization of β-Ga2O3/Diamond-like Carbon Core-shell Heterostructure Nanowires.
Jung Han Kim 1 , Hee-Suk Chung 1 , Tae Jun Ko 1 , Hyun Chul Roh 1 , Kyu Hwan Oh 1
1 , Seoul National University, Seoul Korea (the Republic of)
Show AbstractWe report β-Ga2O3/diamond-like carbon (DLC) core-shell heterostructure nanowires fabricated by vapor-liquid-solid method and radio frequency plasma enhanced chemical vapor deposition (PECVD). As-synthesized β-Ga2O3 nanowires have widths of 30-150nm and outer shell DLC thickness was in a range of 20-30nm. The products were examined by scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersed spectrometry (EDS). In addition, outer DLC layer was confirmed by Raman spectroscopy, which shows typical two Raman bands at 1550 cm-1 (G peak) and 1330 cm-1 (D peak) corresponding to disordered graphite peak. This novel type of core-shell heterostructure nanowire can provide potential applications in optoelectronic nano-devices, nano-sensors, and magnetic nano-devices.
6:00 PM - Q4.18
Structural and Chemical Analysis of Si Microwire Arrays and Epitaxial GaP Layers on Si Microwire Arrays by Transmission Electron Microscopy.
Manav Malhotra 1 , Adele Tamboli 1 , Daniel Turner-Evans 1 , Michael Kelzenberg 1 , Harry Atwater 1
1 Applied Physics, Caltech, Pasadena, California, United States
Show AbstractHigh aspect ratio microwire arrays have tremendous potential for solar energy conversion through the orthogonalization of absorption and carrier extraction. Solar fuel can be generated by devices consisting of a wire array photocathode and photoanode bridged by an ionically permeable membrane. High aspect ratio photoelectrodes increase the surface area and thus lower the flux of charge-carriers over the rod array surface relative to the projected planar surface, which in turn lowers the photocurrent density, relaxing the demand on the turnover frequency of catalysts used in the device. Using the vapor-liquid-solid growth process, we can achieve excellent control of the size, position, and uniformity of vertically aligned, high aspect ratio Si wire arrays [1]. These Si wire arrays can then be coated in GaP layers using metal organic chemical vapor deposition. GaP is a promising material for solar fuel generation because of its catalytic properties in the reduction of CO2 [2] and its wide bandgap of 2.26 eV, which can provide an open circuit voltage greater than the 1.23 eV necessary to split water while still being well matched to the solar spectrum.Bright field, diffraction, and high-resolution transmission electron microscopy were performed at an accelerating voltage of 300 kV on both Si wire arrays and Si wire arrays with a conformal GaP coating. The GaP coating was several microns thick and wire lengths were between 10 and 60 microns. Samples were prepared by embedding the wire arrays in epoxy before microtoming 50-100 nm radial and longitudinal layers. Diffraction patterns showed single crystal Si wires and epitaxial GaP coatings that were either single crystalline or polycrystalline. The single crystal GaP coatings exhibited some evidence of twinning. High-resolution images show an abrupt interface between Si and GaP layers. Using TEM imaging in conjunction with EDS, we can study the presence of residual catalyst from the VLS growth. EDS measurements suggest a correlation between structural defects and residual catalyst in Si wires. [1]B.M. Kayes et al., Appl. Phys. Lett. 91, 103110[2]E. Barton et al., J. Am. Chem. Soc. 130, 6342
6:00 PM - Q4.2
A General Approach for Fabricating Arc-shaped Composite Nanowire Arrays by Pulsed Laser Deposition.
Yue Shen 1 2 , Jung-Il Hong 1 , Sheng Xu 1 , Shisheng Lin 1 , Hao Fang 1 2 , Su Zhang 1 2 , Yong Ding 1 , Robert Snyder 1 , Zhong Wang 1
1 Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 College of Engineering, Peking University, Beijing China
Show AbstractZnO is a material which has both piezoelectric and semi-conductive properties. It can also form a lot of nanostructures, such as nanowires, nanotubes, nanobelts, nanohelicies, nanorings, nanotetrapods, et al. Based on these unique properties, a lot of devices have been fabricated, such as nanogenerators, UV sensors, bio-sensors, pressure-sensors, nano lasers, solar cells, et al. Controlling the shape and internal strain of these nanostructures is very useful for a lot of applications. Here we introduce a novel post-growth method to further modify the shape and strain in ZnO nanowires. Sideways pulsed laser deposition is found to be an efficient method to bend ZnO nanowires into arc-shaped composite nanowire arrays. A lot of different target materials can be used to bend the nanowires. Among them, ZnO itself was found to have the highest bending efficiency. The degree of bending can be well controlled from 0 degree to more than 90 degree by adjusting the deposition time. With a special XRD analysis, the development of stress and strain along the length of the bent wires was characterized. The original ZnO nanowires were found to have a tensile strain after bending. The value of the strain could also be controlled. This method provides a general approach for rational fabrication of a wide range of side-by-side or “core-shell” nanowire arrays with controllable degree of bending and internal strain. Considering the piezoelectric potential in the ZnO nanowires can perform as gate voltage to influence the electron transmission, the electrical properties of the nanowires change when nanowires are bent or stretched. This technique has potential applications in electronics, optoelectronics, energy science and biosensing.
6:00 PM - Q4.22
Single Crystalline PtSi Nanowires, PtSi/Si/PtSi NanowireHeterostructures and Nanodevices.
Yung-Chen Lin 1 , Yu Huang 1
1 MSE, UCLA, Los Angeles, California, United States
Show AbstractWe report the formation of PtSi nanowires, PtSi/Si/PtSi nanowire heterostructures and nanodevices by solid state reaction method. SEM studies show that silicon nanowires can be converted into PtSi nanowires through controlled reactions between lithographically defined platinum pads and silicon nanowires. High resolution TEM studies show that PtSi/Si/PtSi heteorstructure has an atomically sharp interface with epitaxial relationship of Si(111)//PtSi(101). Electrical measurements show that the pure PtSi nanowires have low resistivities ~28.6 μΩ.cm, and high breakdown current densities >1x10^8A/cm^2. Furthermore, using single crystal PtSi/Si/PtSi nanowire heterostructures with atomically sharp interfaces, we have fabricated high performance nanoscale field-effect transistors from intrinsic silicon nanowires, in which the source and drain contacts are defined by the metallic PtSinanowire regions, and the gate length is defined by the Si nanowire region. Electrical measurements show nearly perfect p-channel enhancement mode transistor behavior with a normalized transconductance of 0.3 mS/μm, hole mibility of 168 cm^2/V.s, on/off ratio >10^7, and subthreshold swing of 150 mV/decade.
6:00 PM - Q4.23
Optoelectronic and Interfacial Properties of CNT and ITO on Borosilicate Glass and PET Substrates with Nano- and Hetero-structural Aspects.
Joung-Man Park 1 2 , Zuo-Jia Wang 1 , Joel GnidaKouong 1 , Lawrence DeVries 2
1 School of Materials Science and Engineering, Gyeongsang National University , Jinju, Gyungnam, Korea (the Republic of), 2 Department of Mechanical Engineering, The University of Utah, Salt Lake City, Utah, United States
Show AbstractNano- and hetero-structures of carbon nanotube (CNT) and indium tin oxide (ITO) can control significantly piezoelectric and optoelectronic properties in MEMS as sensing and actuators under cyclic loading. Optimized preparation conditions were obtained for multi-functional purpose of the specimens by obtaining the best dispersion and turbidity in the solution. As the beginning work, optical transmittance and electrical properties were investigated for CNT and ITO dipping and spraying coating on boro-silicate glass and polyethylene terephthalate (PET) substrates by electrical resistance measurement under cyclic loading and wettability test. Uniform dipping coating was performed using Wilhemly plate method due to it simple and convenience. Specimen was applied with spraying coating additionally. The change in the electrical and optical properties of coated layer is mainly dependent upon the number of dip-coating, the concentration of CNT and ITO solutions, and the surface treatment condition. Electric properties of coating layers were measured using four-point probe method, and surface resistance was calculated using a dual configuration method. Optical transmittance of CNT and ITO coated PET film was also evaluated using UV spectrum. Surface energy and their hydrophilic and hydrophobic properties of CNT and ITO coated substrates were investigated by wettability test via static and dynamic contact angle measurements. As the elapsing time of cyclic loading passed, the stability of surface resistance and thus comparative interfacial adhesion between coated layer and substrates was evaluated to compare the thermodynamic work of adhesion, Wa. As dip-coating number increased, surface resistance of CNT coating decreased, whereas the transmittance decreased step-by-step due to the thicker CNT and ITO networking layer. Nano- and hetero-structural effects of CNT and ITO solution on the optical and electrical effects have been studied continuously. Acknowledgement: This work was supported by the National Research Foundation Grant funded by the Korean Government (2009-0072538). Wang, Zuo-Jia is grateful to the second stage of BK21 program for supporting a fellowship.
6:00 PM - Q4.3
One-dimensional Te Nanostructure: A Good Template for Metal Telluride Nanowire, Nanotube, and Nanorod (MxTey).
Geon Dae Moon 1 , Unyong Jeong 1 , Sungwook Ko 1
1 Materials Science and Engineering, Yonsei Univ., Seoul Korea (the Republic of)
Show AbstractOne-dimensional nanostructured materials have been a main focus in many fields, such as electronics, photonics, and nanomechanics since they can give high potential with their use. Although a large number of solution-based methods to synthesize 1D metal nanostructured materials have been demonstrated, the anisotropic growhth of semiconductor 1D nanomaterials in solutions still remains as a challenging area. In this talk, we will provide synthetic routes to fabricate one-dimensional tellurium structure in aqueous or organic solvents. The as-synthesized Te nanostructures can be a good template for the formation of various metal telluride nanostructure (Ag2Te, CdTe, ZnTe, PbTe, and PtTe2) via chemical transformation reactions. The transformation reaction can be divided into two kinds: topotactic conversion and ion exchange transformation. This talk will discuss on the parameters to determine the reaction probability and reservation of the morphology and crystallinity of the template materials.
6:00 PM - Q4.4
PECVD Synthesis of Silicon Nanowires Assisted by Templates of Gallium Islands.
Annika Gewalt 1 , Bodo Kalkofen 1 , Edmund Burte 1
1 Institute of Micro and Sensor Systems, Otto-von-Guericke University, Magdeburg, SA, Germany
Show AbstractSilicon nanowires are one of the favored research subjects due to the steady miniaturization of electronic devices. However, a strictly oriented growth of the wires has not yet successfully been done without complex preparations of the sample surfaces. To achieve ordered arrays of vertically grown whiskers, substantial efforts usually were carried out. Such as the preparation of very fine structured mask layers, made of batches of polymers and copolymers, to define the initial growth positions of each wire, exactly. To avoid these circumstances, self assembled growth of wires - based upon the VLS mechanism - still is aspired. But in doing so, the created wires generally are random in their length, diameters and growth directions, respectively. Nevertheless, precise control of these nanoscale structures is one of the key problems for the reproducible fabrication of devices based on silicon nanowires. The best way to achieve this goal is, to find a method which leads to a self assembled array of catalysts or growth assistants (templates), without much preparation efforts.In this study, we investigated the possibility of self assembled epitaxial growth of silicon nanowires forced by small gallium droplet templates. Those gallium islands previously were deposited by a modified PECVD method. Two different delivery techniques of the trimethylgallium precursor were tested. On the one hand standard liquid delivery was performed and on the other, the precursor was transported by vapor draw out of the heated bubbler and then was pulsed into the carrier gas flow. The effects on the deposited islands of both delivery methods were compared. The morphology and the diameter distribution of the islands were analyzed with SEM as well as with AFM. The chemical composition was investigated with EDS and XPS, respectively. As substrates <111> oriented p-doped silicon wafers were used. The sample surfaces initially were activated by an argon/ hydrogen plasma. Additionally, some of the substrates were pre-cleaned in an oxide etch bath to remove the native oxide completely from the sample surface. Differences in deposition behavior of the small gallium islands as well in growth behavior of the subsequently grown silicon wires, according to the differences in the surface treatment, were analyzed. The growth of the silicon wires similarly was performed in two different ways. One of them is a normal PECVD technique. The other way was adapted from the plasma assisted ALD method. In both cases silane was used as precursor and hydrogen served as dilutor gas. The effects of both growth methods upon the generated wires also were analyzed and compared.
6:00 PM - Q4.5
Epitaxial GaN Thin Films Grown on Silicon Substrate by Modified Hydride VPE Technique Using Ga(mDTC)3 Seed-layer Precursor.
Ky Nam Hoang 1 , Dongwook Kim 1 , Hong Tak Kim 1 , Chinho Park 1
1 , Yeungnam University, Gyeongsan Korea (the Republic of)
Show AbstractIn this study, we investigated properties of GaN epitaxial layers deposited on silicon substrate by modified hydride vapor phase epitaxy (MHVPE) technique utilizing the GaN seed-layer formed by liquid source seed-layer precursor. Tris N,N-dimethyldithiocarbamato gallium (III) (Ga(mDTC)3) dissolved in various solvents was used as the precursor solution for formation of gallium nitride (GaN) seed-layer. Synthesized Ga(mDTC)3 powder was first mixed with a solvent to prepare seed-layer precursor solution, which was then spin-coated on Si substrates. The GaN seed-layer was formed on substrates via pyrolytic transformation of Ga(mDTC)3 in NH3 environment during the nitridation step. The GaN epitaxial layer started to grow, as trimethyl gallium (TMGa) and HCl gas flows were simultaneously switched to the reactor right after the nitridation step, and the growth continued at 850 °C for 30 minutes. The structural property and surface morphology of grown GaN layers on Si were analyzed by X-ray diffractometer (XRD) and scanning electron microscope (SEM), while optical property was characterized by photoluminescence (PL) spectroscopy. The characterization results showed the epitaxial growth of GaN on Si substrate with smooth surface morphology, indicating that the GaN seed-layer process developed in this study acted positively in terms of providing defect sinks to produce high quality GaN thin film on Si substrate which have a very large mismatch with GaN both in lattice constant and thermal expansion coefficient. It was also interesting to observe that the crystallinity of GaN thin films were affected by the solvent used to prepare the seed-layer precursor solution. Detailed studies on the solvent effect are under way.
6:00 PM - Q4.6
Single-crystalline Like Multilayer Titania Nanopillar Arrays Synthesized by Templated Sol-gel Deposition Followed by Layer Transfers.
Ying Chen 1 , Ho-Cheol Kim 2 , Yoshio Nishi 1
1 Electrical Engineering, Stanford University, Stanford, California, United States, 2 Almaden Research Center, IBM Research Division, San Jose, California, United States
Show AbstractWhile nanoparticle cell is currently the most efficient and stable dye-sensitized solar cell (DSSC) [1], nanowire cells have shown significant advantages that would make them superior to nanoparticle cells. One such advantage is the fast and direct electron transport, which was reported to be several hundred times faster than trap-limited diffusion in nanoparticle cells, which can lower device efficiency caused by electron-hole recombination. The weakness of current nanowire cell is the insufficient utilization of internal surface area, or the surface roughness factor. Lower effective surface area of the nanowires is due to two facts: First, longer nanowires are unable to stand straight by themselves without any supports; Second, nanowire arrays that are too dense tend to cluster which reduces the dyeable surface. We investigated nanoframe dye-sensitized solar cells where high aspect ratio TiO2 nanowire arrays were formed by cathodic electro-deposition in sacrificial polymeric template containing cylindrical pores. A TiO2 cover layer was introduced on top of the TiO2 nanowire array so as to form a strong structure, a nanoframe, and avoid nanowire clustering or bundling. Our results showed that the cover layer did not impede the free diffusion of I-/I3- ions in the cell. We further found that ions diffuse through the TiO2 cover layer via crackings present in this layer. The density of the crackings can be controlled by controlling the thickness of the cover layer by selecting proper sol-gel process durations or post sol-gel wet-etchings. This finding made us believe that layers of TiO2 nanoframes can be stacked together to significantly increase the overall surface area without sacrificing the ion diffusivity in the electrolyte. We investigated different approaches to stack layer of nanowire arrays to create a single-crystalline-like ordered TiO2 nano-structure. Eight layer stacking of nanowire arrays have been achieved by rolling of titanyl (TiO(OH)2.xH2O) gel filled polymer template prior to high temperature annealing. Details on the multi-layer stacking technique including the experiment setup, the effect of substrate nature on layer lift-off and the mechanical requirements to the polymer templates will be presented along with photovoltaic characterization results.[1] M. Gratzel, Inorg. Chem. 2005, 44, 6841-6851
6:00 PM - Q4.8
Synthesis of Phthalocyanine Nanowires in Alumina 1D Pores and Magnetic Control in Their Molecular Orientation.
Seiichi Takami 1 2 , Seiichi Furumi 1 , Yasuhiro Shirai 1 , Ryoma Hayakawa 1 , Yoshio Sakka 1 , Toyohiro Chikyow 1 , Yutaka Wakayama 1
1 , National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 , Tohoku University, Sendai, Miyagi, Japan
Show AbstractA magnetic approach for controlling molecular orientation in organic nanowires is presented. Nanowires of copper octabutoxyphthalocyanine (Cu-ObPc) were prepared by filling alumina 1D pores with molten Cu-ObPc. The molecular orientation was controlled by applying a magnetic field of 12 T during solidification of the molten Cu-ObPc. X-ray diffraction (XRD) measurements showed that the magnetic field promoted the formation of an ordered face-to-face molecular stacking arrangement in the columnar structure of Cu-ObPc molecules. The XRD results also suggested that the direction of the columnar structure was controlled by the magnetic field. The conductivity of the synthesized Cu-ObPc molecular nanowires was measured by an apparatus equipped with secondary electron microscope and tungsten probes for electric measurements. The electrical conductivity of the Cu-ObPc nanowires that were solidified under magnetic field was almost ten times larger than that of the nanowires solidified without magnetic field. This results suggest that the electrical properties of the Cu-ObPc nanowires was improved by applying the magnetic field during synthesis through the control in the molecular orientation. We believe that our method provides a practical route for synthesizing organic nanowires with improved molecular orientation.
6:00 PM - Q4.9
New Insights on the Role of Line and Interfacial Energetics During Vapor-liquid-solid Growth of One-dimensional Silicon Oxide Nanowires.
Martin Bettge 1 2 , Scott MacLaren 1 , Steve Burdin 1 , Daniel Abraham 3 , Ivan Petrov 1 , Ernie Sammann 1 , Min-Feng Yu 2
1 Frederick Seitz Materials Research Laboratory, University of Illinois at Urbana/Champaign, Urbana, Illinois, United States, 2 Department of Mechanical Science and Engineering, University of Illinois at Urbana/Champaign, Urbana, Illinois, United States, 3 Chemical Sciences and Engineering Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractThe consideration of surface energetics becomes increasingly important as the characteristic lengths are reduced during the vapor-liquid-solid (VLS) growth of nanowires. We investigate the effect of the interfacial energies during one-dimensional silicon oxide nanowire growth. Multiple silicon oxide nanowires with well-defined diameters can be grown from one single and relatively large liquid indium droplet. Our measurements indicate a remarkably constant diameter in the range of 9 nm, independent of the droplet size. This is in stark contrast to conventional VLS growth in which one droplet precipitates one single nanowire, and in which the diameter of the precipitated wire is directly proportional to the diameter of the liquid droplet. This presentation sheds light on the origin of these differences by providing a detailed force balance analysis at the three-phase line. Using two different approaches, the liquid-solid interfacial energy of indium/silicon oxide is found to be the largest energy contribution at the three-phase line and lies within the range of 670 to 850 mJ/m^2. The force balance analysis also reveals the critical existence of a force that behaves at the three-phase-line as a negative line tension would do. This line energy contribution is found to be relatively small, but important to ensure stable and small nanowire growth. The value of the line energy lies in the range of -0.1 to -1 nJ/m^1. The importance of the force balance at the three-phase-line in the presence and absence of the line energy will also be discussed for some other material systems, such as silicon and silicon oxide nanowires precipitated from liquid tin droplets.
Symposium Organizers
" " Brookhaven National Laboratory
Hongjin Fan Nanyang Technological University
Mato Knez Max-Planck-Institute of Microstructure Physics
Woo Lee Korea Research Institute of Standards and Science (KRISS)
Stanislaus S. Wong State University of New York-Stony Brook
Q5: Nanotemplates
Session Chairs
Wednesday AM, April 07, 2010
Room 2018 (Moscone West)
9:30 AM - *
The Scientific Life of Prof. Ulrich Goesele - by Mato Knez
Show Abstract10:00 AM - Q5.1
Novel Pre-oxidation Patterning on Thin Aluminium Film Generating Ordered Nanopores through Anodization.
Giovanni Fois 1 , Ciara Bolger 1 2 , Justin Holmes 1 2 , Graham Cross 1
1 CRANN, Trinity College Dublin, Dublin Ireland, 2 Department of Chemistry, University College, Cork Ireland
Show AbstractAnodic Aluminum Oxide (AAO) has been widely used as template forfabrication of nanowires and nanotubes thanks to the potential of AAO togenerate self organized (SO) well ordered pores structures. Howeverthese SO structures could be prepared only within a narrow experimentalwindow. In the last 15 years different pre-patterning techniques have beendeveloped to direct pores ordering showing a very high aspect ratio.These techniques comprise hard mask imprinting, Focused Ion Beam (FIB)pre-texturing and Electron Beam Lithography (EBL).We have developed a new Aluminum pre-patterning technique to create wellordered nanopores arrays on thin films deposited on silicon substrates.We formed patterns of thick oxide on the surface via local oxidationprocess using a conducting Atomic Force Microscope (AFM) tip working incontact mode. Pores are forced to nucleate inside the pre-oxidized areaduring the anodization process.The relation between applied voltage and interpore distance has been found to belinear also for supported thin films. The empiric law has been confirmed for awide range of voltages, changing solution concentration and using differentelectrolites, as oxalic and phosphoric acid. Our results show that thepre-oxidation patterning is a successful technique to achieve ordered nanoporoustemplate through the anodization process.
10:15 AM - Q5.2
Horizontal Nanochannels Aligned by Physical Epitaxy and Guided Anodization.
Ciara Bolger 1 2 , Richard Farrell 1 2 , Gareth Hughes 4 , Michael Morris 1 2 , Nikolay Petkov 3 , Justin Holmes 1 2
1 Materials and Supercritical Fluid Group, Department of Chemistry and the Tyndall National Institute, University College Cork, Cork Ireland, 2 Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin Ireland, 4 Department of Materials, University of Oxford, Oxford United Kingdom, 3 Electron Microscopy and Analysis Facility (EMAF), Tyndall National Institute, Cork Ireland
Show AbstractSynthesis of nanostructures within aligned mesoporous templates allows the highly ordered structures to be electrically and thermally isolated from each other, and hence addressed on an individual basis. Mesoporous silica thin films prepared by Evaporation Induced Self Assembly (EISA) are one potential sub-10 nm host due to the structural regularity and porosity of the material on the nanoscale[1]. We have prepared aligned mesoporous silica by directed deposition of thin films within channelled substrates[2]. A systematic study of the deposition parameters, sol-gel concentration, and trench dimensions (cross sectional aspect ratio) required to produce well-aligned mesoporous silica channels on patterned substrates was carried out. In depth characterisation of the resultant films has established pore correlation lengths (length of a linear porous channel) of at least two micron. This characterisation was achieved by novel focused ion beam (FIB) sectioning and in situ SEM imaging, to our knowledge, a technique applied to such a system for the first time. Information on the defect density of the films and the registration of the mesopores to the micron-sized substrate pattern was also provided by this sectioning. Our findings establish that, under confinement, directed deposition of the sol within channelled substrates, where the cross-sectional aspect ratio of the channels approaches unity, induces alignment of the mesopores along the length of the channels. Such information on pore correlation lengths and defect densities is critical for subsequent nanowire growth within the mesporous channels, contact layout, and possible device architechtures.Anodised Aluminium Oxide (AAO) is another such host material, appealing due to the low cost and ease of processing[3], along with its thermal and chemical robustness. The synthesis of extremely high aspect ratio, almost defect free AAO has already been achieved in a vertical orientation[4], but there have been few reports on the synthesis of AAO in a horizontal orientation with respect to the supporting substrate.[5] An extensive study has been carried out on the anodisation of a series of Al fingers of different dimensions in a planar orientation. Characterisation has been carried out by SEM and TEM of sections taken through the pores, and most importantly along the pore lengths, revealing a branched porous nature not previously reported in the literature.1.Brinker, C.J. Mrs Bulletin. 2004. 29: 631.2.Bolger, C.T.; Farrell, R.A.; Hughes, G.M.; Morris, M.A.; Petkov, N.; Holmes, J.D. Acs Nano. 2009. 3: 2311-2319.3.Nielsch, K.; Choi, J.; Schwirn, K.; Wehrspohn, R.B.; Gosele, U. Nano Letters. 2002. 2: 677-680.4.Jessensky, O.; Muller, F.; Gosele, U. Appl. Phys. Lett. 1998. 72: 1173-1175.5.Gowtham, M.; Eude, L.; Cojocaru, C.S.; Marquardt, B.; Jeong, H.J.; Legagneux, P.; Song, K.K.; Pribat, D. Nanotechnology. 2008. 19: 035303.
10:30 AM - Q5.3
Formation of Barrier-layer-free Porous Anodic Aluminum Oxide Template on Substrates.
Hyoji Choi 1 , Sang-Hyun Park 1 , Satbyul Kim 1 , Do-Joong Lee 1 , Ki-Bum Kim 1
1 , seoul national university, Seoul Korea (the Republic of)
Show AbstractAn array of vertically aligned nanorods and nanotubes has been attracted considerable interest for device applications such as sensors, batteries, and optoelectronic devices. Among various templates used for the fabrication of these structures, anodic aluminum oxide (AAO) has been widely researched because it can be easily formed on the substrate and has vertically-aligned and uniformly distributed nanopores over large area. For application of the AAO template to those devices, it is very important to fabricate the AAO on various substrates to satisfy requirements for each device. One of the issues on the AAO template is that a barrier type insulating layer is inevitably formed at the bottom of AAO pores. Therefore, this barrier layer should be selectively removed for the electrical contact between nanostructures grown in the nanopores and a bottom electrode underneath the AAO. In this presentation, we demonstrate a formation process of the barrier-layer-free AAO template on various substrates by using conducting underlayers and selective wet-etch process. Firstly, the AAO template was formed on a Si wafer by anodizing Al / TiN bilayer thin films. In this process, insulating TiOx pillars are simultaneously formed and protruded through the barrier layer of AAO during the anodization. By selectively removing these TiOx pillars with a Standard Clean 1 etchant, we could fabricate the AAO template of which nanopores are directly connected to the underlying electrode. Also, we demonstrate the formation of AAO template on a glass substrate coated with a transparent Al-doped ZnO (AZO) thin films for the application of optoelectronic devices. Ultrathin W layer was inserted between the Al film and the AZO underlayer not only to prevent chemically weak AZO but also to remove the barrier layer of AAO by selectively wet-etching protruded WOx pillars. From the rigorous selection of a thickness of W layer, we could fabricate the AAO template which is optically transparent and has no insulating barrier layer. For the confirmation of the electrical contact to the underlayer on both processes, Ru nanowires were grown into nanopores of the AAO template by atomic layer deposition, and the resistivity between an individual Ru nanowire and the underlayer is directly measured by using a conductive atomic force microscopy. Details on the formation process of barrier-layer-free AAO template on the various substrates will be given.
10:45 AM - Q5.4
Reduced Pore Diameter Fluctuations of Macroporous Silicon Fabricated from Neutron Transmutation Doped Silicon.
Stefan Schweizer 1 , Ralf Wehrspohn 1
1 Institute of physics, University of Halle, Halle Germany
Show AbstractThe photoelectrochemical etching of perfectly ordered macropores in single-crystalline silicon is limited for photonic applications by its pore diameter fluctuations. If standard float zone or Czochralski silicon wafers are used, a variation of the pore diameter in the range of 4% exists. These so-called striations limit the crystal quality and reduce the performance of possible photonic applications. As doping inhomogeneities are the reason for the striations, uniformly doped silicon wafers by neutron transmutation doping were used for the first time. In this material phosphorous doping is performed by transmutation of silicon atoms after capture of thermal neutrons and a subsequent nuclear transformation. The pore diamater variation of neutron transmutation doped silicon is nearly 50% smaller than for typically float zone silicon wafers. This is a promising result for the fabrication of photonic components in the near future.
11:30 AM - **Q5.5
Hard and Soft Templates for the Chemical Synthesis of Multi-functional 1D Nanostructures.
Raymond Schaak 1
1 Chemistry, Penn State University, University Park, Pennsylvania, United States
Show AbstractTemplate strategies represent a powerful paradigm for designing new levels of complexity into multi-component inorganic nanostructures. For example, the application of solution-phase chemical reactions to hard templates (e.g. nanostructured inorganic solids) can produce derivative nanostructures with retention of morphological, compositional, and/or structural features. Likewise, soft templates (e.g. biopolymers) can help to spatially organize multiple types of inorganic nanostructures into heterostructured assemblies. This talk will highlight our recent efforts in the template-based synthesis of complex one-dimensional (1D) nanostructures. Specifically, we will discuss a multi-template method for generating striped metal nanowires: metal nanowires are fabricated by electrodeposition in anodic aluminum oxide templates, then transformed into striped metal, alloy, intermetallic, and phosphide nanowires via the application of solution-mediated chemical conversion reactions. We will also discuss the use of M13 bacteriophage as a soft template for the synthesis of multi-functional nanomaterials, with both non-specific and materials-specific interactions working synergistically to generate spatially-programmed 1D nanoheterostructures.
12:00 PM - Q5.6
WO3 Doping Effects on the Photoelectrocatalytic Activity of TiO2 Nanotube Film Prepared by an Anodization Process.
Hei Lok Tsai 1 , Michael K.H. Leung 1 , Gui Sheng Li 1 , Dennis Y.C. Leung 1
1 Mechanical Engineering, The University of Hong Kong, Hong Kong China
Show Abstract In order to improve the photoelectocatalytic (PEC) efficiency of TiO2 nanotubes, WO3-doped TiO2 nanotubes were fabricated by anodizing the TiO2 nanotube film in an NH4F electrolyte containing WO4- ions. The sample was characterized by FE-SEM, EDX, XRD and XPS. The results showed that WO3 were successfully doped into TiO2 nanotubes. The PEC activity of the modified TiO2 nanotubes was evaluated through the PEC degradation of aqueous Acid Blue 80. The experiments demonstrated that the PEC degradation rate of WO3 doped TiO2 nanotubes is 2.2 times and 2.9 times higher than that of non-doped TiO2 nanotubes under UV light irradiation and visible light irradiation respectively at an applied potential of 1.54V.
12:15 PM - Q5.7
Template-based Fabrication of Plasmonic Nanoantenna Heterostructures for Efficient Light Extraction from Semiconducting Polymer Nanoemitters.
Deirdre O'Carroll 1 2 , Carrie Hofmann 1 , Harry Atwater 1
1 Thomas J. Watson Sr. Laboratory of Applied Physics, California Institute of Technology, Pasadena, California, United States, 2 ISIS, Nanostructures Laboratory, Univeristy of Strasbourg and CNRS, Strasbourg France
Show AbstractPlasmonic nanoantennas have been widely studied in recent years to improve the emission quantum efficiency (QE), directionality and rate of light emission from semiconductors. Radiative decay rate enhancement can substantially dominate over non-radiative decay rate enhancement when dipole emitters are coupled to judiciously tailored plasmonic antennas, thereby enhancing the radiated power of the emitter. Here, we present integrated plasmonic antenna-emitter heteronanostructures consisting of gold nanowire antennas coupled to poly(3-hexylthiophene) (P3HT) semiconductor light emitters (intrinsic photoluminescence (PL) QE of ~ 1%) that significantly increase the QE of the semiconductor. Comprehensive theoretical calculations and optical characterization of single nano-fabricated antenna-emitter heterostructures are employed to determine the modified QE.Gold-P3HT nanowire heterostructures are fabricated by, first, cathodic electrodeposition of gold nanowires (diameter 60 nm, lengths from 100 nm to 1 μm) within nanoporous alumina templates and subsequent anodic polymerization of the 3HT monomer at the tips of the template-embedded gold nanowires (P3HT length of ~75 nm).[1] A reduction in measured PL lifetime by a factor of 2, an increase in PL intensity and anisotropy, and pronounced modification of the P3HT PL spectrum compared to neat P3HT nanowires is demonstrated from individual gold-P3HT nanowires after liberation from alumina templates. These results are substantiated by full-field electromagnetic simulations which show that radiative decay rate is enhanced by a factor of 7 at the full-wave resonance wavelength of the gold nanowire antenna, while QE is increased by a factor of up to 2.4. The radiative decay rate and QE enhancements arise from near-field coupling to the longitudinal resonance of the gold nanowire antenna which resonates in the frequency range of P3HT emission. Template-based fabrication and optical characterization of gold-P3HT-gold nanowire heterostructure antennas (which are theoretically predicted to yield a QE of 30 % from P3HT at a wavelength of 700 nm) will also be presented. This work addresses the design and nanoscale fabrication and testing of optical nanoantenna-emitter structures that yield substantial gains in emitter quantum efficiency. These structures are particularly desirable for nanoscale free-space optical signal routing and integrated photonic circuitry as well as plasmonic amplifiers and sensors. [1] D. M. O’Carroll, C. E. Hofmann, H. A. Atwater, “Conjugated Polymer/Metal Nanowire Heterostructure Plasmonic Antennas,” Adv. Mater., in press (DOI: 10.1002/adma.200902024).
12:30 PM - Q5.8
Supercritical Fluid Electrodeposition and Supercritical Chemical Fluid Deposition for Filling Nanoscale Templates.
David Cook 3 , Ke Jie 2 , Jixin Yang 2 , Jason Hyde 1 , James Wilson 1 , David Smith 1 , Wenta Su 2 , Steven Howdle 2 , Michael George 2 , Kanad Mallik 4 , Pier Sazio 4 , Magda Perdjon-Abel 3 , Phillip Bartlett 3 , Mohammed Mallik 5 , Mohammed Afzaal 5 , Chinh Nguyen 5 , Paul O'Brien 5 , Wenjian Zhang 3 , Fei Cheng 3 , Andrew Hector 3 , William Levason 3 , Gillian Reid 3
3 Department of Chemistry, University of Southampton, Southampton United Kingdom, 2 Department of Chemistry, University of Nottingham, Nottingham United Kingdom, 1 School of Physics and Astronomy, University of Southampton, Southampton, Hampshire, United Kingdom, 4 Optoelectronic Research Centre, University of Southampton, Southampton United Kingdom, 5 Department of Chemistry , University of Manchester, Manchester United Kingdom
Show AbstractSupercritical fluids (SCF) with their lack of surface tension and high mass transport rates are in many ways ideal solvents for deposition into nanostructured templates. Work by others on deposition into mesoporous silicas has demonstrated that supercritical chemical fluid deposition (SCFD) does not suffer from pore blocking in the same way as related gaseous phase deposition, e.g. chemical vapour deposition. In fact using SCFD it was possible to deposit 10nm diameter copper pipes with a uniform wall thickness in the range 2-3nm [1]. We will present out recent work on SCFD of optoelectronic quality direct bandgap compound semiconductors for the first time [2]. In particular we will discuss the deposition of the II-VI semiconductor CdS and the III-V semiconductors GaP, InAs and InP using single source precursors, the development of a fast reagent switching supercritical fluid flow reactor, and characterisation of the deposited materials including X-ray diffraction, electron microscopy, Auger emission spectroscopy and photoluminescence spectroscopy. Aqueous electrodeposition has a lot of attractive features for deposition into nanostructured templates; in particular the directional nature of electrodeposition aids complete pore filling. However achieving pore wetting with water is not always possible and water limits the range of materials which can be deposited; for instance it excludes silicon. We will present the first generally applicable method for electrodeposition from a supercritical fluid [3]. Supercritical fluids, such as CO2 and hydrofluorocarbons, have a number of unique advantages for electrodeposition into nanoscale templates. These include facile pore wetting, ease of removal from pores, relatively high deposition temperatures, and large electrochemical windows allowing deposition of reactive materials. We will present our work on developing new electrolyte and deposition reagent systems which make electrodeposition possible in SCFs. We will present deposition of a range of materials including Ag, Au, Co and Ge from singly and doubly charged ions to demonstrate the general applicability of SCF electrodeposition. We will also present the application of SCF electrodeposition to the deposition of 3nm diameter meta nanowires. [1] K. Ziegler, P. Harrington, K. Ryan, T. Crowley, J. Holmes, M. Morris, “Supercritical fluid preparation of copper nanotubes and nanowires using mesoporous templates”, J. Phys.:Condens. Mater 2003, 15, 8303.[2] J.Yang, J.Hyde, J.Wilson, K. Mallik, P. Sazio, P. O’Brien, M. Malik, M. Afzaal, C. Nguyen, M. George, S. Howdle, D.Smith “Continuous Flow Supercritical Chemical Fluid Deposition of Optoelectronic Quality CdS”, Adv. Mater. 2009, 21, 4115.[3] J. Ke, W. Su, S. Howdle, M. George, D. Cook, M. Perdjon-Abel, P. Bartlett, W. Zhang, F. Cheng, W. Levason, G. Reid, J. Hyde, J. Wilson, D. Smith, K. Mallik, P. Sazio, “Electrodeposition of metals from supercritical fluids”, PNAS. 2009 vol. 106 (35) 14768.
12:45 PM - Q5.9
Versatile Solution-phase Approach for Fabrication of Metal-Semiconductor Heterostructured Nanowires.
Rawiwan Laocharoensuk 1 2 , Nickolaus Smith 1 2 , Jon Baldwin 2 , Aaron Gin 3 , Jennifer Hollingsworth 1 2
1 Physical Chemistry and Applied Spectroscopy (C-PCS), Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 Center for Integrated Nanotechnologies (CINT), Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 3 Center for Integrated Nanotechnologies (CINT), Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractNanowire structures with “built-in” nanoscale electrical contacts are critical for successful development of semiconductor-nanowire (SC-NW) device applications. Recently, we developed a novel approach for fabrication of metal-SC heterostructures. The new technique couples two solution-phase growth methods: (1) template-based electrodeposition for metal NW growth and (2) the Solution-Liquid-Solid (SLS) method for SC-NW growth. Taking advantage of the template-based fabrication, which provides precise control of metal growth and, more importantly, in-situ assembly of NWs, we have successfully electrodeposited metal contacts (e.g., Au) and low-melting metal catalyst material (e.g., Bi). The low-melting metal was subsequently used to support the growth of high-quality SC-NWs. A “first” demonstration of our metal/semiconductor heterostructured NW—a Au/CdSe NW—was successfully grown using commercially available Anodisc Aluminum Oxide (AAO) template. However, this approach resulted in unacceptable length control of the semiconductor component of the hybrid structure due to limited penetration of precursors through the depth (60 µm) of the alumina pores. To achieve greater control over diameter and length of NWs grown, several approaches were developed: fabrication of thin (<10 µm) free-standing alumina membranes and ultrathin (<1 µm) alumina membranes on single-crystalline Si substrates as well as flexible metal substrates. The thin alumina membranes drastically improved accessibility of chemical precursors to the metal catalyst, providing opportunities for control of growth kinetics. More complex heterostructures, e.g. metal/SC/metal and metal/SC/SC/metal, were also synthesized, where the latter was assisted by our novel adaptation of the SLS growth technique, called “flow SLS.” Significantly, our new approach allows for sequential feeding of precursors to arrays of catalyst particles for controlled multi-segmented SLS SC growth.
Q6:Atomic Layer Deposition
Session Chairs
Wednesday PM, April 07, 2010
Room 2018 (Moscone West)
2:30 PM - **Q6.1
Cylindrical Nanomagnets Based on Atomic Layer Deposition: New Insides into Their Magnetism and Interactions.
Kornelius Nielsch 1
1 Institute of Applied Physics, University of Hamburg, Hamburg Germany
Show AbstractA broad range of potential applications of magnetic nanostructures have been developed in the recent years. Magnetic data storage, microelectronics, or biomedical uses such as cell separation or biosensing present a special interest among these applications. Atomic layer deposition (ALD) is a very suitable method for the conformal deposition of magnetic thin films in pore structures of high aspect ratio, while offering the precise tuning of the layer thickness and high uniformity [1]. For the ALD of Co, Ni and Fe3O4 [2], initially a metal oxide film has been grown by the reaction of the precursor with ozone, respectively. Subsequently, the metal oxide film has been reduced in hydrogen atmosphere and converted to the metallic ferromagnetic phase with low-degree of surface roughness. By ALD coating of self-ordered Al2O3 membranes, arrays of magnetic nanotubes with diameters down to 20 nm and wall thicknesses of less than 5 nm have been achieved. The magnetic properties of the nanotube arrays as a function of wall thickness and tube diameter have been studied by using SQUID magnetometry and compared with magnetic simulations. We will discuss the properties of magnetic multi-layered nanotubes (core-shell) with distinct switching events and the application of conformal magnetic coatings of other template systems and 3D nanostructures. Furthermore, we have recently started the development of ferrofluidic solutions with enhanced magneto-viscosity based on multilayer nanotubes. This research project is performed in coloration with the Chilean Millennium Science Nucleus on Basic and Applied Magnetism.Refernces:1. M. Knez, K. Nielsch, L. Niinistö, Advanced Materials 19, 3425-3438 (2007).2. J. Bachmann, K. Nielsch et al., JACS 129, 9554 (2007).
3:00 PM - Q6.2
Fabrication of SnO2 Nanotubes by Eletrospinning and Atomic Layer Deposition and Their Gas Sensing Performance Toward Ethanol.
Won-Sik Kim 1 , Byoung-Sun Lee Lee 1 , Dai-Hong Kim 1 , Hong-Chan Kim 1 , Woong-Ryeol Yu 1 , Seong-Hyeon Hong 1
1 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show Abstract1-dimensional nanomaterials such as nanowires, nanoribbons and nanotubes are the most promising ones for the solid state chemical sensors due to large surface to volume ratio, Debye length, and low power consumption. Since they can provide two surfaces (outer and inner) for gas adsorption/desorption, nanotubes are mostly expected to enhance the gas sensing performance. SnO2, a wide band gap semiconductor (3.6 eV at 300 K), has been extensively studied for advanced gas sensors with high sensitivity. SnO2 nanotubes were traditionally fabricated by electrodeposition on AAO template. Recently, electrospun polymers have been interest as a template for metal oxide nanotubes because of low cost, easy control of tube size, and high yield. In this study, a combination of electrospinning and atomic layer deposition (ALD) is proposed as an effective method to produce SnO2 nanotubes. To authors’ knowledge, this method has not been researched yet, though it can offer a novelty such as precise and uniform control of the wall-thickness of nanotubes. In the present study, we demonstrate an effective strategy to control the wall-thickness of SnO2 nanotubes. Firstly, template nanofibers were prepared from PAN (polyacrylonitrile) using the electrospinning process and subsequent stabilization process. Then ALD was performed to the prepared nanofibers templates. Finally SnO2 nanotubes were produced by the following annealing. The detailed procedures are as follows. PAN was dissolved in DMF (dimethylformamide) and the electrospinning process with a collector of Si wafer was then carried out at 20 kV. To control the diameter of electrospun PAN fibers, its concentration was varied from 10 to 20 wt%, producing fibers with a diameter of 100 ~200 nm and over 500 nm, respectively. PAN fibers were then stabilized at 250 oC for 2hr. ALD was performed at 100 oC with O2 plasma. Usually, SnCl4 or SnI4 was used as a precursor for SnO2 thin films in ALD process. However, these precursors are required high temperature over 500 oC, in which polymer templates are not durable. In our process, we chose DBTDA (dibutlytindiacetate) as Sn precursor to carry out ALD process at relatively low temperature, 100 oC with O2 plasma. The wall-thickness of SnO2 nanotube was controlled by the number of cycles, 100, 300 and 500 cycles. The prepared SnO2 nanotubes on PAN nanofibers were annealed at 700 oC for 1hr to burn out the PAN nanofibers and crystallize the SnO2 nanotubes. Nanotubes were identified as cassiterite-SnO2 and also characterized to have uniform wall-thickness of from 8 to 30 nm, depending on the number of cycles. A piece of nanotube sheet was applied to comb-liked Pt patterned SiO2 substrate and then annealed at 600 °C for 1 h for stable sensor performance. We investigated gas sensing properties of SnO2 nanotubes toward 100 ppm ethanol and H2 gases, showing that the gas response was much higher toward ethanol gas than H2 gas and the highest gas response was obtained at 400~450 oC.
3:15 PM - Q6.3
Low Temperature Silicon Dioxide Grown by Thermal Atomic Layer Deposition: Material Properties and Applications for Nanowires and Nanotubes.
Daniel Hiller 1 , Robert Zierold 2 , Julien Bachmann 2 , Kornelius Nielsch 2 , Margit Zacharias 1
1 Nanotechnology group, University of Freiburg - IMTEK (Department of Microsystems Engineering), Freiburg Germany, 2 Institute of Applied Physics, Hamburg University, Hamburg Germany
Show AbstractSiO2 is the most widely used dielectric material but its growth or deposition involves high thermal budgets or suffers from shadowing effects. The low-temperature method presented here (150 °C) for the preparation of SiO2 by thermal atomic layer deposition (ALD) provides perfect uniformity and surface coverage even into nanoscale pores, which suits well with recent demands in nanoelectronics and nanotechnology. Uniform coating of nanowire- or nanotube arrays enables protection and passivation with stable and chemically inert silicon dioxide. Thereby, the coated nanostructures can be exposed to hazardous ambient conditions during subsequent device production or application without the risk of material deterioration as has been demonstrated recently [1].The ALD reaction based on 3-aminopropyltriethoxysilane (APTES), water and ozone provides outstanding SiO2-quality and is free of catalysts or corrosive by-products [2]. A variety of optical, structural and electrical properties are investigated. Many features, such as the optical constants (n, k), optical transmission and surface roughness (1.5 Å) are found to be similar to thermal oxide quality. Rapid thermal annealing (RTA) at 1000°C is demonstrated to significantly improve certain properties, in particular the etch rate in hydrofluoric acid, oxide charges and interface defects. Besides a small amount of OH-groups in the oxide remaining from the growth and curable by RTA no impurities could be traced. We present a detailed investigation of the first reliable low temperature ALD-growth process for silicon dioxide which can be used for many purposes in nanowire device fabrication. [1] K. Pitzschel et al., ACS Nano (to be published) [2] J. Bachmann et al., Angew. Chem. Int. Ed. 47, 6177 (2008)
3:30 PM - Q6.4
Templated Synthesis of Atomic Layer Deposition for Anatase TiO2 Nanotubes with High Aspect Ratio.
Hyunjun Yoo 1 , Changdeuck Bae 1 , Hyunchul Kim 1 , Hyun Suk Jung 1 , Jiyoung Kim 2 , Hyunjung Shin 1
1 Advanced Materials Engineering, Kookmin university, Seoul Korea (the Republic of), 2 Department of Materials Science and Engineering, University of Texas, Dallas, Texas, United States
Show AbstractAtomic layer deposition (ALD) technique allows us to fabricate functional nanostructures even with complex morphologies such as high aspect ratio nanotubes (NTs) and three-dimensionally ordered mesopores. Template-directed synthesis strategy is an ideal tool to fabricate diverse nanostructures in that their physical dimensions can be precisely controlled and monodisperse samples can be harvested. Nanotubular structures of TiO2 , in particular, with anatase polymorphs and their ordered arrays are promising candidates as high-efficient host materials, charge carrier collectors, and information storage media in dye-sensitized/hybrid solar cells, secondary lithium-ion batteries, and non-volatile memory devices. Their structures, which developed through phase transitions in nanoscale, often control physical properties, for example, electron transport, ion intercalation, and surface adsorption. Phase transitions in the nanostructure of strong-bonded metal oxides are however far from understood by now and quite different from their bulk counterparts. By combining ALD with porous anodic alumina templates, we prepared the crystalline anatase TiO2 NTs having ALD-controlled thin oxide layers. Their electronic as well as electrochemical properties are investigated for the use of dye-sensitized solar cells and Li-ion batteries. In addition, we compared the thickness profiles of deposited layers of the resulting structures across the depth in terms of the dimensionality of diffusion path in the template mesopores employed. On the basis of these results, we developed a gas-phase diffusion model which can be generally applied to coating inside mesopores.
3:45 PM - Q6.5
Size-dependent Polymorphism in HfO2 Nanotubes and Nanoscale Thin Films.
Michael Shandalov 1 , Paul McIntyre 1
1 Materials Science and Engineering Department, Stanford University, Stanford, California, United States
Show AbstractMany metal oxides exhibit size-dependent phase transitions among multiple polymorphs. In this work, the microstructure and crystallinity of ultrathin HfO2 films and utrathin-wall nanotubes were investigated by high-resolution electron microscopy and electron diffraction after high-temperature annealing. Nanotubes were formed by atomic layer deposition (ALD) of HfO2 on epitaxial Ge <111> nanowire arrays on Si (111) substrates used as templates, followed by selective etching of the Ge wires. A size dependent phase transition sequence from amorphous (a-HfO2) to tetragonal (t-HfO2) and from tetragonal to monoclinic (m-HfO2) phase was observed with increasing film and nanotube wall thickness. These results are analyzed in light of recent predictions of surface energy-driven phase transitions in nanoscale fluorite-structure oxides.
4:30 PM - **Q6.6
In2S3 Atomic Layer Deposition as a Sensitizer on TiO2 Nanotube Arrays for Solar Energy Conversion.
S. Sarkar 1 , J. Kim 2 , D. Goldstein 1 , N. Neale 2 , K. Zhu 2 , A. Frank 2 , Steven George 1
1 Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado , Colorado, United States, 2 , 2National Renewable Energy Laboratory, Golden CO 80401, Colorado, United States
Show AbstractThe In2S3 atomic layer deposition (ALD) was employed as a semiconductor sensitizer on TiO2 nanotube arrays for solar conversion. The In2S3 ALD was performed using indium acetylacetonate (In(acac)3) and H2S. Quartz crystal microbalance (QCM), X-ray reflectivity (XRR) and Fourier transform infrared (FTIR) spectroscopy techniques were employed to study and optimize the In2S3 ALD. The growth per cycle was 0.30-0.35 Åper cycle at 150°C as determined by QCM and XRR measurements. Scanning electron microscopy and energy dispersive X-ray analysis imaging revealed In2S3 over the full length of the TiO2 nanotube array after 175 cycles of In2S3 ALD. The photoelectrochemical properties of these In2S3 ALD-sensitized TiO2 nanotube arrays with a Co2+/Co3+ electrolyte were then characterized by measuring the photocurrent density versus voltage and the external quantum efficiency versus photon energy. A small quantum efficiency of ~10% was observed that can be attributed to charge recombination losses and charge injection/collection processes.
5:00 PM - Q6.7
Two Distinct Magnetic Switching Events in Multilayered Core-shell Nanowires.
Yuen Tung Chong 1 , Detlef Goerlitz 1 , Julien Bachmann 1 , Kornelius Nielsch 1
1 Institute of applied physics, University of Hamburg, Hamburg Germany
Show AbstractElongated nanostructures combining several phases of distinct physical properties in a coaxial geometry are expected to give rise to novel effects — spintronic, multiferroic, magneto-plasmonic, to name a few. In the pure magnetic realm, such structures are promising candidates for high density magnetic storage device. Here, we demonstrate a method for the preparation of core-shell nanowires consisting of an elemental metal and an oxide separated by a spacer layer, such that the materials of core and shell are chosen independently of each other and that the thickness of every individual layer is accurately tunable. As a start, porous alumina is used as a template, which defines the length (20 µm) and diameter (150 nm) of the nanowires. Then atomic layer deposition (ALD) is used to coat the inner walls of the pores with (i) a protective outer sheath, (ii) a conformal layer of the shell magnetic material ( Fe3O4), and then (iii) a spacer layer of silica. Subsequently, electrodeposition is employed to fill the remaining inner volume of the tubes with the core, an electrically conducting magnetic material (Ni). The core-shell samples possess distinct magnetic features, characteristic of both Fe3O4 shell and Ni core. Both the gradual magnetization reversal of the shell and the abrupt magnetization reversal of the core are observed individually. The mutual influence of core and shell can be minimized with a thick non-magnetic layer separates them. This is the first experimental demonstration that four distinct remnant states can be reached in magnetic nanowires. Furthermore controlled variations in the structural parameters (thicknesses and diameters) directly result in systematic changes in the magnetic properties of the wires.
5:15 PM - Q6.8
Controlled Synthesis of 3D Nanostructures using Proximity-field Nanopatterning Lithography and Graded Temperature ALD.
Robert Grubbs 1 , Kate Bogart 1 , D. Bruce Burckel 1 , Dan Shir 2 , John Rogers 2
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 Materials Science and Engineering, University of Illinois, Urbana-Champaign, Urbana, Illinois, United States
Show Abstract The combination of Proximity-field nanoPatterning (PnP) and graded temperature ALD has enabled the synthesis of robust three dimensional nanostructures. The PnP process uses a simple elastomeric optical phase mask to generate a complex three dimensional interference pattern in photopolymer. By controlling the geometry of the phase mask features, various three dimensional interference crystal patterns can be obtained inside the photopolymer. These include simple cubic, face centered cubic and quasi-crystal geometries. By varying the spacing of the features on the phase mask, the lattice parameters of these crystal forms can be controlled. Once the photopolymer structure has been obtained, it is subsequently used as a template for graded temperature ALD. The graded temperature ALD chemistry is used to coat and lock-in the designed nanostructure without melting the template. This process generates a thermally robust nanostructure for further, higher temperature, ALD surface treatments. A necessary requirement for the graded temperature ALD is that the deposition temperature needs to be below the softening point of the photopolymer nanostructure. The ALD chemistry is performed at various (increasing) temperatures to secure the nanostructure and to reduce the macroscopic stress of the structure as higher temperature depositions are performed. Due to the size of these structures, the ability to analyze and quantify changes as a function of temperature and ALD chemistry has been challenging. Three methods for nanostructure characterization have been useful in interrogating these structures: quartz crystal microbalance (QCM), optical interference and focused ion beam scanning electron microscopy (FIB-SEM). PnP structures are created on QCM crystals allowing the in-situ monitoring of the nucleation and growth of ALD chemistry on the photopolymer to be observed (figure 1). Also, these PnP structures are periodic and produce interference patterns in the optical regime. This characteristic is exploited and used to monitor structural integrity and ALD film thickness. The third technique of FIB-SEM allows cross-sections of PnP structures to be obtained and imaged; allowing a greater interrogation and characterization of the insides of the structure. This talk will cover the fabrication process for generating PnP nanostructure templates and the correlation between the phase mask and the resulting PnP structure. Details of the graded temperature ALD chemical process for both Al2O3 and TiO2 will be covered. Also structural characterizations will be exam and experimental data on the unique applications of these structures will also be presented.
5:30 PM - Q6.9
The Electrode Effect on Ultraviolet Photoconductive Properties of TiO2 Nanotubes Grown by Atomic Layer Deposition.
Yung-Huang Chang 1 , Chih Chen 1 , Yuan-Chieh Tseng 1 , Hsyi-En Cheng 2 , Hsiang Yao Hsiao 1
1 , Department of Materials Science and Engineering, National Chiao Tung University, Taiwan Taiwan, 2 Department of Electro-Optical engineering, Southern Taiwan University, Tainan Taiwan
Show AbstractWe used atomic layer deposition system (ALD) to deposit TiO2 nanotube arrays, with an assistance of anodic aluminum oxide (AAO), at 400 °C on silicon/quartz substrates. The morphology nanotube arrays is modified by controlling the deposited cycles of ALD. The wall-thickness of nanotubes, which the grain size is dependent on are enlarged as the increase of deposited cycles. The polycrystalline anatase structure of TiO2 was examined by X-ray diffraction (XRD) and the selected area electron diffraction (SAED). UV-vis spectra showed that higher wall-thickness exhibited more excellent absorption features. The photoresponse of nanotube arrays revealed the correspondence with the results of UV-vis spectra. The significantly different trends of photo-responsivities were observed due to the various junction characteristics of electrode connecting to TiO2, such as Ohmic and Schotty contacts. The energy band diagrams of TiO2 connecting to Ti (Ohmic contact) and ITO (Schotty contact) were presented to explain the mechanism of transform from photon to current. The sat the Schotty-contat the Schotty-contact junction played the main role in the photoresponse.
5:45 PM - Q6.10
The Controlled Deposition of Metal Oxides onto Carbon Nanotubes by a Non-aqueous Sol-gel Approach Applied to Atomic Layer Deposition.
Nicola Pinna 1 2 , Marc-Georg Willinger 1
1 Department of Chemistry and CICECO, University of Aveiro, Aveiro Portugal, 2 World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2), Department of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractNonaqueous sol-gel routes are elegant approaches for the synthesis of nanomaterials[1]. Indeed, high quality pure inorganic nanocrystals [1], ordered hybrid organic-inorganic materials [2] or thin films by atomic layer deposition (ALD) [3] can be obtained.In this communication, after an introduction to nonaqueous sol-gel chemistry we will concentrate on its application to the ALD of metal oxides for the coating of high aspect ration materials such as carbon nanotubes. Our approach utilizes metal alkoxides and carboxylic acids as precursors as metal and oxygen source, respectively [4]. It allows the coating of the inner and outer surface of the tubes with a highly conformal film of controllable thickness and hence, the production of high surface area hybrid materials [5,6]. The morphology and the chemical composition as well as the high purity of the films are evidenced through a combination of electron microscopic and electron-energy-loss spectrometric techniques. Furthermore, in order to highlight a possible application of the obtained hybrids, the electrical and sensing properties of resistive gas sensors based on hybrid metal oxide-coated carbon nanotubes are reported and the effect of thermal treatment on the gas sensing properties is studied. References:[1] Pinna, N. & Niederberger, M. Surfactant-free nonaqueous synthesis of metal oxide nanostructures, Angew. Chem. Int. Ed., 2008, 47, 5292-5304 [2] Pinna, N. The "benzyl alcohol route": An elegant approach towards organic-norganic hybrid nanomaterials, J. Mater. Chem., 2007, 17, 2769-2774 [3] Clavel, G.; Rauwel, E.; Willinger, M.-G. & Pinna, N. Nonaqueous sol-gel routes applied to atomic layer deposition of oxides, J. Mater. Chem, 2009, 19, 454-462 [4] Rauwel, E.; Clavel, G.; Willinger, M.-G.; Rauwel, P. & Pinna, N. Non-aqueous routes to metal oxide thin films by atomic layer deposition, Angew. Chem., Int. Ed., 2008, 47, 3592-3595 [5] Willinger, M.; Neri, G.; Rauwel, E.; Bonavita, A.; Micali, G. & Pinna, N. Vanadium oxide sensing layer grown on carbon nanotubes by a new atomic layer deposition process, Nano Lett., 2008, 8, 4201-4204 [6] Willinger, M.; Neri, G.; Bonavita, A.; Micali, G.; Rauwel, E.; Herntrich, T. & Pinna, N.The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition: Examples and a case study on the application of V2O4 coated nanotubes in gas sensing, Phys. Chem. Chem. Phys., 2009, 11, 3615-3622
Q7: Poster Session: Nanofabrication by Electrodeposition
Session Chairs
Thursday AM, April 08, 2010
Salon Level (Marriott)
9:00 PM - Q7.1
Lithographically Patterned Nanowire Electrodeposition of Metal/Semiconductor Coaxial Hybrid Nanowires.
Somnath Ghosh 1 , Justin Hujdic 1 , Alan Sargisian 1 , Erik Menke 1
1 School of Natural Sciences, University of California, Merced, California, United States
Show AbstractSynthesis of hybrid nanostructures, which are composites of multi-materials, is an emerging field of material sciences attracting great deal of attention and interest. This technique provides an ability to effectively combine desirable properties of different materials to form novel nano-structures and holds the potential of creating next generation of devices and sensors. The rational design of such heterostructures can lead to novel functionalities that are independent of the individual components and may be tailored to fit a specific application. An appropriate combination of two or more metals with semiconductor nanowires – which show a maximum absorption in the visible region of the solar spectrum – would serve as promising materials for applications in photoelectrochemical based solar cells.Lithographically patterned nanowire electrodeposition (LPNE) is a novel method for fabricating polycrystalline metal and semiconductor nanowires (NW) using electrodeposition method. In LPNE, a sacrificial metal (nickel) layer, 5-100 nm in thickness, is first deposited onto a 1 inch2 glass substrate by physical vapor deposition (PVD). A positive photoresist (PR) layer is then spin coated, photopatterned, and finally exposed Ni is removed by wet etching process.Fine tuning of the etching duration and etchant concentration allows for a control on the width of the undercuts created at the edge of the exposed PR (~300 nm). This undercut produces a horizontal trench with a precisely defined height equal to the thickness of the Ni layer. Within this trench, a nanowire of Gold (Au) is electrodeposited. Finally the PR and the Ni layers are removed. This allows for an independent and tunable control of the nanowire height and width, while the total length can be more then a few mm. Finally, the obtained Au nanowire is used as an electrode and a concentric shell of Copper (Cu) NW is deposited on it by Chronoamperometry (CA) in a coaxial structure, and the so deposited Cu NW is oxidized to form Au-CuO coaxial NWs. In the same way, Indium selenide (InSe) can be electrodeposited on Cu to obtain copper indium selenide (CIS) on Au. The structural and compositional characterization of these coaxial NWs is carried out by SEM, EDS and XRD techniques.These Au-CuO and Au-CIS hybrid nanostructures can find interesting applications in development of new photocatalytic materials for energy applications. Furthermore, metal-semiconductor interface can facilitate charge separation in the semiconductor or energy transfer between two materials, and can be thus used in solar cells as well as high-performance interconnects for generating and transporting surface-plasmon polaritons (SPP).
9:00 PM - Q7.2
Electrodeposition of Cu-Zn and Mn-Cu-Zn Nanowires.
Mayank Gupta 1 , Dinesh Pinisetty 2 , John Flake 1 , James Spivey 1
1 Chemical Engineering, Louisiana State University, Baton Rouge, Louisiana, United States, 2 Mechanical Engineering, Louisiana State University, Baton Rouge, Louisiana, United States
Show AbstractConventional catalysts used for the conversion of syngas (CO and H2) to ethanol typically yield less than 10% ethanol with the balance resulting mostly in the formation of the thermodynamically favored products CH4 and CO2. New catalysts with compositions designed to kinetically favor the formation of ethanol are needed. Pulse electrodeposition of nanowires offers a means to control the surface properties of multimetallic catalysts in a way not possible with conventional catalyst preparation methods such as co-precipitation and impregnation. A principle advantage of electrodeposition over conventional methods centers on its ability to control the active metal environment at the atomic level.In the present work, Mn-Cu-Zn nanowires were pulse electrodeposited into polycarbonate track etched membranes with an average pore diameter of 400 nm. The aqueous electrolytes include varying amount of nitrates of Mn, Cu, Zn, and NH3. A cathodically pulsed waveform with a current density of -50.7 mA/cm2 for 50 ms with varying off-times (400 ms, 500 ms, and 600 ms) was used to fabricate the nanowires. Different off-times were used to control composition and morphology of the resulting nanowires. Experimental results show that copper content increased with an increase in off-time. Longer off-time allows greater replenishment of Cu ions in the diffusion layer, increasing the Cu content of the final nanowires, and decreasing both Zn and Mn concentrations. XPS results show bulk composition of the nanowires was significantly different from the surface. For example, the nanowires pulse deposited with an off-time of 400 ms had a bulk composition of 79.7 wt% Cu, 17.4 wt% Zn, and 2.9 wt% Mn; however, surface composition was 83.2 wt% Cu, 16.8 wt% Zn with no Mn. The mechanism may be related to more Mn loss at the surface of nanowires due to the higher rate of displacement reaction between Cu ions and Mn during the off-times.
9:00 PM - Q7.3
Electrodeposition of Bismuth-Telluride (N-type) and Antimony-Telluride (P-type) Nanostructured Bulk Thermoelectric Device.
Dinesh Pinisetty 1 , Mayank Gupta 2 , Ram Devireddy 1
1 Mechanical Engineering, Louisiana State University, Baton Rouge, Louisiana, United States, 2 Chemical Engineering, Louisiana State University, Baton Rouge, Louisiana, United States
Show AbstractThe performance of a thermoelectric cooler (TEC) is estimated based on a dimensionless quantity: ZT = S2σT/κ, where S, σ, T, κ are Seebeck coefficient (µV/K), electrical conductivity (mho/mm), absolute temperature (K) and thermal conductivity (W/m-K), respectively. An ideal TEC will exhibit a high power factor (S2σ) coupled with low thermal conductivity (κ). The most commonly used materials/alloys in a TEC, bismuth-telluride (Bi2Te3) and antimony-telluride (Sb2Te3), have been fabricated using a variety of techniques, including sputtering, hydrothermal synthesis, molecular-beam epitaxy, evaporation, and electrodeposition. We have previously reported the electrodeposition characteristics of thin films of Bi2Te3 (Prabhakar et al., MRS Symposium Proceedings, Vol. 845, AA5.25.1-6, 2005), nanotubes of Bi2Te3 (Despina et al., ECS Transactions, Vol. 6, pp. 253-260, 2007). A recent simulation study by Pinisetty and Devireddy (Acta Materialia, In Press, 2009) suggests that the use of nanotubes might be more thermo-electrically effective than the use of corresponding size nanowires. Thus, in the present study, we report the electrodeposition characteristics of nanotubes and nanowires of Sb2Te3 in a gold coated polycarbonate membrane (Poretics PCTE, GE Osmonics, Minnetonka, MN; average pore diameter of 100 nm and 400 nm). The electrodeposition bath, optimized by rota-hull cell experiments, was made up of 2.3 mM Sb2O3, 4.3 mM TeO2, 330 mM of tartaric acid, and 3 M of HNO3. A quantitative analysis was performed by wavelength dispersive spectrometery (WDS) (JEOL 733 superprobe), to investigate the effect of deposition potential and time, on the composition of the deposited Sb2Te3 nanowires and nanotubes. And finally, we characterized the size, shape and distribution (variability) of Sb2Te3 nanostructures utilizing Scanning Electron Microscopy, SEM (JEOL JSM-840A) and X-ray Diffraction, XRD (Bruker/Siemens D5000 X-ray diffractometer, CuKα radiation). A bulk TEC device composed of n-type bismuth-telluride (Bi2Te3) and p-type antimony-telluride (Sb2Te3) nanotubes (and nanowires) is currently being fabricated and tested for use in various applications, including biological systems.
9:00 PM - Q7.5
Magnetic Properties of Electrodeposited Iron Oxide Nanowires in Porous Polymer Templates Created by Etching After High Energy Nickel Ion Implantation.
Shuangqi Song 1 2 , Karen Taniguchi 1 , Li Sun 1 2
1 Mechanical Engineering, University of Houston, Houston, Texas, United States, 2 The Texas Center for Superconductivity , University of Houston, Houston, Texas, United States
Show AbstractNanoparticles and other low dimension artificial magnetic nanostructures have attracted many recent attentions because they can offer many unique properties for applications. Due to their bio-compatibility, the iron based nanostructures have shown great potentials in biomedical applications including magnetic resonance imaging (MRI) contrast enhancement, magnetic hyperthermia, drug delivery and tissue engineering. Here we report on the fabrication and magnetic characterization of Fe based nanowires synthesized by template assisted electrodeposition. Using a NEC Tandem accelerator, nickel ions have been accelerated to 11.9MeV and implanted into polymer membranes spin-coated on conducting substrates. After selective etching, templates with pore diameter as small as 20nm were fabricated. Electrochemical deposition allows the growth of nanowires inside the nanopores from the conductive substrate. Microstructural analysis indicated that nanowires in the magnetite phase can be synthesized. Magnetic characteristics such as coercivity, remnant magnetization, magnetic anisotropy, and hysteresis energy loss can be adjusted by controlling the diameter, aspect ratio, and composition of the nanowires. After dissolving the matrix, the magnetic nanowires can be suspended in solutions and manipulated by external field for biocompatibility studies and further development for biomedical applications.
9:00 PM - Q7.6
Synthesis of Vertically-aligned Hollow Pt Nanotubes With Single Crystalline Nanoflakes.
Lichun Liu 1 , Sang-Hoon Yoo 1 , SoonChang Hong 1 , Sungho Park 1 2 3
1 Chemistry, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 2 Energy Science, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of), 3 SKKU Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon, Gyeonggi-Do, Korea (the Republic of)
Show AbstractThis paper reports on a methodology for synthesizing vertical arrays of hollow Pt nanotubes with [111] single crystalline nanoflakes. Initially, single component Ni nanorods were fabricated with the aid of AAO templates and electrochemical deposition. When the resulting Ni nanorods were immersed in Pt ion-containing aqueous solution, the Ni metal dissolved into Ni2+ ions through spontaneous galvanic replacement with Pt ions. However, the direct replacement between Ni nanorods and Pt ions led to an irregular architecture in the resulting Pt deposition. Instead, a pitting corrosion pretreatment of the Ni nanorods produced nucleation sites for the replacement with Pt ions. This step was critical for accelerating the interfacial replacement reaction rate and the formation of the regular Pt nanotubes with sultrathin superficial nanoflakes. We found that the Kirkendall effect was operative in the Pt nanotube formation.
9:00 PM - Q7.7
Highly Controllable Nano-texturing of Silicon Using Hydrogen-assisted Reactive Ion Etching.
Mahdyieh Mehran 1 , Zeinab Sanaee 1 , Shamsoddin Mohajerzadeh 1
1 , Thin Film Lab. & Nanoelectronic Lab., Tehran Iran (the Islamic Republic of)
Show AbstractWe report the evolution of nano-textured silicon substrates by means of a hydrogenation-assisted reactive ion etching (RIE) and with a highly controllable top-down process. These nano-structures, either in the form of nano-grass or nano-wires, can be used as surface enhancement in gas and bio-sensors, ion-selective field effect transistors (ISFET), micro-mechanical (MEMS) sensors and in solar cells. Our etching technique is strongly dependent on three gases of H2, O2 and SF6 in the presence of RF plasma. Although silicon grass (black-silicon) formation is a side-effect of reactive ion etching technique, the method presented in this paper allows one to control this effect and to use it for future device applications. On one hand, by controlling the etching parameters, the grass formation can be minimized to obtain grass-free high aspect ratio vertical structures on silicon substrates at micro and nano-scale. On the other hand, one can program the etching procedure to achieve grass-full structures in desired depth and patterns in pre-designed locations, which is accomplished with the aid of high precision projection lithography. The process consists of two passivation and etching sub-cycles where by repeating these cycles one can achieve the desired depths. The incorporation of H2/O2 and SF6 during the passivation sub-cycle leads to the formation of a protecting layer over the sidewalls of the vertical craters while hydrogen bombardment helps to remove this protective layer from the very bottom of the crater. In the next etching sub-cycle, the bottom of crater is etched in the presence of SF6 plasma, while the sidewalls of features are protected. Using this method, various features are obtained on Si substrates, with sizes down to 100nm in width. The overall etch rate can be varied between 0.1 to 0.5 µm/min, depending on the plasma power, duration and the SF6 flow during the etching sub-cycle. By reducing the flow of O2 during the passivation and SF6 during the etching sub-cycles, vertical or spaghetti-like Si nano-grasses with a height of 2-3 µm and a width of 30 to 100 nm are obtained. Cr can be used as the mask for the etching/grass-formation process and by proper patterning of this layer we obtain nano-grass at desired shapes and geometries.To observe the dramatic effect of the nano-grasses on silicon substrates, we have measured the water and oil wetting angles of black silicon and the bare one. We observed that the grass-full structure is both hydro and oelo-phylic. A mixture of oil and water spill has resulted in the creation of a double-layer wetting of the surface where the water droplet becomes partly encapsulated in the surrounding oil. This unique behavior can be used to encapsulate carbon nanotubes and to form vertical alignment of them on textured silicon surfaces, which is currently being investigated.
9:00 PM - Q7.8
Nanoconfined Surfactant Templated Electrodeposition to Porous Hierarchical Nanowires and Nanotubes.
Mahesh Naalla 1 , Stacy Baber 1 , Qianglu Lin 1 , Hongmei Luo 1 , Yufeng Lu 2
1 Chemical Engineering, New Mexico State University, Las Cruces, New Mexico, United States, 2 Department of Chemical and Biomolecular Engineering, University of California, Los Angeles, California, United States
Show AbstractWe will report the surfactant self-assembly confined in the nanoporous of membranes, combined with electrodeposition to prepare porous metal and semiconductor nanowires and nanotubes. More specifically, the overall diameter and length of nanowires or nanotubes are defined by the pore channels of membranes. Surfactant assembled structure nanoconfined within the pores is used to generate porosity and to control the texture of nanowires or nnaotubes. Two kinds of surfactants will be used to mix with the electrolytes for electrodeposition: one is concentrated non-ionic surfactant polyoxyethylene alkyl ether (> 30 wt%, such as Brij76), the other is anionic surfactant sodium dodecyl sulfate (SDS) at very low concentration (typically, less than critical micelle concentration. In specific, porous Pd and Co nanowires, and porous Pt and Ni nanotubes with controlled diameter and length are one-step electrodeposited from confined hexagonal liquid crystal or lamellar liquid crystal generated from self-assembly of polyoxyethylene surfactant in porous AAO membranes, respectively. Porous ZnO nanowires are formed from nanoconfined interfacial surfactant SDS assembly in the porous membranes. This method, based on self-assembly of surfactant and electrodeposition, can be readily applied to the preparation of mesoporous metal, semiconductor, oxide and polymer nanowires or nanotubes for different applications.
9:00 PM - Q7.9
Beyond 1D Nanostructures: Electroless Deposition of Metals into 2D and 3D Nanotemplates in Silicon Fabricated Using Metal-assisted Acid Etching and Shaped Catalysts.
Owen Hildreth 1 , Ching Wong 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractCurrent nanofabrication technologies face two important limitations. First, 3D geometry is difficult if not impossible to fabricate on the nanoscale, requiring multiple lithography steps that is both expensive and do not scale well to industrial level fabrication requirements. Second, as feature sizes shrink into the nano-domain, it becomes increasingly difficult to accurately maintain those features over large depths and heights. The ability to produce these structures affordably and with high precision is critically important to a number of existing and emerging technologies such as photonic crystals, metamaterials, nano and micro-fluidics, nano- imprint lithography and more.To overcome these limitations we have developed a novel wet-chemical etching and deposition process in silicon that allows us to fabricate 2D and 3D nanotemplates in silicon along with embedded or free standing metallic nanostructures. The nanotemplates process a uses metal-assisted chemical etching of silicon (MaCE) in conjunction with shaped catalyst to etch 1D, 2D or 3D geometry into the silicon based primarily catalyst shape and etchant composition. In MaCE a metal catalyst is used to generate a local galvanic cell across the catalyst that locally increase the dissolution rate of silicon in an etchant solution of hydrofluoric acid and hydrogen peroxide. Unlike other etching techniques were a pattern of material remains on the top surface acting as a mask, in MaCE the metal catalyst moves into the substrate at the silicon around and beneath the catalyst dissolves. Because the catalyst can travel in 3 dimensions while continuing to etch it is possible to create 3D patterns in the silicon and our group has reported on the effects of catalyst shape on etching direction and showed that cycloids, spirals, sloping channels, “S” shaped channels and more can be fabricated by controlling catalyst shape to create complex, 2D and 3D nanostructures with extremely smooth walls.This paper will demonstrate a novel technique we’ve developed using MaCE in conjunction with shaped catalysts to fabricate 3D nanostructures used as templates for the electroless deposition of metal nanostructures. The benefits of using MaCE are two-fold. Not only can we fabricate sophisticated nanostructures than with existing techniques, but the metal catalyst remains at the bottom of the template and can be used as a nucleation sight for the electroless deposition of metals. The selectivity of metal deposition is enhanced by the increased redox potential of the metal catalyst as compared to silicon. This paper will outline our new approach to nanofabrication of 1D, 2D and 3D nanostructures using MaCE templates.
Q8: Poster Session: Nanotubes
Session Chairs
Thursday AM, April 08, 2010
Salon Level (Marriott)
9:00 PM - Q8.1
Semiconducting Nanotube Array-on-ITO via ZnO Nanorods Templating.
Quan Li 1 , Xina Wang 1 , Haojun Zhu 1 , Minjie Zhou 1 , Yeming Xu 1
1 , The Chinese University of Hong Kong, Hong Kong China
Show AbstractInorganic compounds with tubular structure have attracted much research attention due to their low density and high surface-to-volume ratio, extending the capacity for desired surface modification and functionalization. Using ZnO nanorod array as the template, vertically aligned semiconductor nanotubes have been demonstrated on indium tin oxide (ITO) glass in large scale. The wall thickness of the nanotube is tunable from ~50 nm to very thick, leading to the formation of continuous semiconducting network. We found that preferable nucleation and growth on specific surface of the ZnO nanorods template is critical to the formation of tubular structure with controllable wall thickness. Detailed morphological and structural characterizations of the samples during the nanotube array formation disclose a growth mechanism that can be generally applied to a wide range of materials, and the resulted semiconductor nanotube arrays may find various applications in areas from optoelectronics to solar energy conversion. This work is supported by grants from the GRF of HKSAR under project No. 414908, 414709, and CUHK Focused Investment Scheme C.
9:00 PM - Q8.2
Nanostructure of TiO2–based Nanotubes Obained by Hydrothermal Treatment.
Valentin Teodorescu 1 , Leona Nistor 1 , Adrian Maraloiu 1 , Maria Zaharescu 2 , Silviu Preda 2 , Marie-Genevieve Blanchin 3
1 Stucture of Defects in Solids, National Institute for Materials Physics, Bucharest Romania, 2 , Institute of Physical Chemistry, Bucharest Romania, 3 LPMCN, University Lyon, Lyon France
Show AbstractValentin S. TEODORESCU1, Leona C. NISTOR1, Adrian V.MARALOIU1,3,Maria ZAHARESCU2, Silviu PREDA2, and Marie-Genevieve BLANCHIN31 National Institute for Material Physics , 105 bis Atomistilor Street, P.O.Box Mg-7Bucharest-Magurele 77125, Romania2 Institute of Physical Chemistry « Ilie Murgulescu « , Roumanian Academy, 202 Splaiul Independentei Street, 060021 Bucharest, Romania3 Laboratoire de Physique de la Matiere Condensee et Nanostructures, UMR CNRS 5586, Universite Claude Bernard Lyon1, 69622 Villeurbanne, France TiO2 nanotubes were obtained by hydrothermal treatment from amorphous TiO2 powders, prepared by sol-gel method, in presence of 10M NaOH. The hydrothermal treatment took place at 140°C. The hydrothermal process was performed using a Teflon-lined pressure vessel at 80% degree of filling. The resulted precipitate was separated by centrifugation and washed, several times, successively, with diluted solution of 0.1N HCl and distilled water to remove sodium. The X-ray analysis (XRD) and the infrared spectroscopy (FT-IR) suggest the presence of the anatase structure. The resulted TiO2 powder contains different morphology from nanoparticles, nanofoils and mainly nanotubes, which are very similar to the TiO2-nanotubes obtained by hydrothermal processing from titania precursors. Transmission electron microscopy (TEM) specimens were prepared from the resulted powder by dispersion in alcohol and deposition on holey carbon grids for high resolution TEM studies. The length of the observed nanotubes is variable between 15 nm and 200 nm. The diameter of the TiO2 nanotubes is quite the same in all the cases. The exterior diameter of the nanotubes is between 7 nm and 11 nm, with an average of about 9 nm. The wall thickness is between 1.5 nm and 2.5 nm and can be correctly estimated only from the HRTEM images. The TiO2 nanotubes show an anatase-like crystallized structure. The strong (011) anatase (0.3517 nm) reflexion is present in all HRTEM images. However, the wall interlayer distances observed are in the interval of 0.65-0.75 nm. Such distances are present in Na2Ti3O7, Na2Ti8O17, which show also a strong reflexions near the 0.36 nm lattice interplanar distance.
9:00 PM - Q8.3
Synthesis and Characterization of Magnetic Nanotubule Arrays.
Sachin Pathak 1 , Sukhvinder Singh 1 , Manish Sharma 1
1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi, India, India
Show AbstractThe fabrication of ordered arrays of magnetic nanomaterials intended for application in high density data storage is one of the challenges facing modern nanotechnology. In this paper, a template-assisted electrodeposition technique using a three-electrode electrochemical cell is used to produce high density cobalt nanomaterial arrays with different shapes. By adjusting deposition conditions, we have grown arrays of Cobalt hollow nanotubules inside the nanopores. The nanotubules have typically inner and outer diameters of 50nm and 100nm respectively. The inside of the nanotubules is hollow when first formed, and this can be filled by an additional material, magnetic or nonmagnetic, by a subsequent electrodeposition step. Close-packed arrays of both nanowires and nanotubules have been grown successfully into the nanopores using a carefully controlled potentiostatic electrodeposition approach.Following the growth and deposition in nanopore templates, selective etchants like dichloromethane and NaOH are used to dissolve the templates in order to separate out the nanotubules. By applying a magnetic field during dissolution, the nanotubules can be arranged in regular oriented arrays. Such magnetically and physically ordered templates could serve as tracks for patterned magnetic media and also as high-frequency microwave devices. We discuss the use of such arrays for both these applications.The morphology of the samples has been investigated by means of SEM and the structural characteristics of the samples examined using AFM and EDX. These confirm the hexagonal closely packed structure of the nanotubule arrays. Magnetic characterization done using SQUID measurements will also be presented. These show the influence of morphology on the magnetic properties of the arrays. It has been found that, due to the close packing, the nanotubules have a very different magnetic switching behaviour as compared to solid nanowires and this is exhibited in the SQUID measurements. Finite-element micromagnetic modelling was used to model the nanotubules in array form and the static M-H loops reproduced. The coercivity increases with length while the inner and outer diameters greatly affect rotational switching of the magnetization. Dynamic calculations performed show several dominant modes in the 10-50GHz frequency range. These precessional modes were different for nanotubule arrays due to the close-packed arrangement damping some modes while enhancing others.
9:00 PM - Q8.4
Production of Boron Nitride Nanotubes from Reaction of Ammonia With Mixture of Boron and Iron Oxide Powders.
Naime Sezgi 1 , Didem Ozmen 1 , Suna Balci 2
1 Chemical Engineering, Middle East Technical University, Ankara Turkey, 2 Chemical Engineering, Gazi University, Ankara Turkey
Show AbstractNanoparticles have high thermal, electrical and mechanical properties and these properties cause them to be used for different applications in electronics, pharmaceuticals, medicine etc. Boron nitride nanotubes are one of the important types of nanoparticles which are produced by rolling and folding into cylindrical forms of boron nitride sheets. Boron nitride nanotubes have nice field emission property, high thermal conductivity and high Young’s Modulus. They have a constant band-gap (~5 eV), so the conductive properties of boron nitride nanotubes are more or less independent of chirality, diameter and even the number of walls in the tube. In this study, boron nitride nanotubes were synthesized from the reaction of ammonia gas with mixture of boron and iron oxide powders in an alumina tube which was connected to a mass spectrometer for on-line chemical analysis of the reactor outlet stream. There is no published work in which experimental evidence was reported for the formation of species by the on-line measurement of the reactor exit composition. For the first time, the mass spectrometer analysis of the reactor effluent stream proved formation of nitrogen and hydrogen in addition to ammonia gas during the reaction of ammonia gas with the powder mixture. The analysis result showed that the only reaction taking place in the gas phase was decomposition reaction of ammonia gas. While mole fraction of hydrogen increased with an increase in temperature, mole fraction of nitrogen decreased. Boron nitride nanotubes was produced by the reaction of nitrogen formed from the decomposition reaction with FeB formed from the reaction of boron with iron oxide. XRD results showed that hexagonal and rhombohedral boron nitrides and the cubic iron were the solid phases formed in the synthesized materials. Boron nitride production was first observed at a temperature of 900 °C. The crystalinity of the product increased with an increase in both temperature and weight ratio. In addition to this, the color and appearance of the product also changed with both temperature and weight ratio of boron to iron oxide. FTIR and XPS results also indicated the presence of boron nitride in the synthesized materials. XPS and EDX results revealed that the atomic ratios of boron to nitrogen are close to each other and compatible with the chemical stochiometric relation between boron and nitrogen. The synthesized materials exhibited Type II isotherms and their surface area decreased with a decrease in both temperature and weight ratio of boron to iron oxide. The diameters of the synthesized materials varied from 64 to 130nm. Cylindrical and bamboo-like boron nitride nanotubes were observed in the studied temperature range.
9:00 PM - Q8.5
Mechanical Properties of Bamboo Morphology Boron Nitride Nanotubes Synthesized via a Catalytic Chemical Vapor Deposition Technique.
Daniel Lamont 1 , Adrienne Tanur 2 , A. Leela Reddy 1 , Gilbert Walker 1 2
1 Department of Chemistry, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Department of Chemistry, University of Toronto, Toronto, Ontario, Canada
Show AbstractThe determination of the mechanical properties of one-dimensional nanomaterials is important for the application of such materials in structural composites and in device structures. In this study, the stiffness of bamboo boron nitride nanotubes (BNNTs) was determined via atomic force microscopy. Bamboo BNNTs were synthesized using a low temperature (1100oC) chemical vapor deposition (CVD) technique, in which ferrous oxide (Fe2O3) was used as a catalyst. SEM and TEM characterization revealed that the tubes were > 15 microns long, and had diameters < 100 nm. The tubes were deposited onto a patterned silicon substrate consisting of a series of trenches ~1.5 micron wide by ~1 micron deep, which were fabricated via focused ion-beam (FIB) milling. Tubes spanning the trenches were subjected to loading by the AFM probe, for various positions along the suspended tubes. The force-separation curves were analyzed in terms of a small-deflection beam bending model (assuming clamped ends), and a springs-in-series stretching model, and the results of the two models were compared.
9:00 PM - Q8.6
Metal-free Synthesis of Carbon Nanotubes on Patterned Substrates.
Andrea Capasso 1 , Nunzio Motta 1 , Eric Waclawik 3 , John Bell 1 , Simon Ruffell 4 , Anna Sgarlata 2 , Manuela Scarselli 2 , Maurizio De Crescenzi 2
1 School of Engineering Systems, Queensland University of Technology, Brisbane, Queensland, Australia, 3 School of Physical and Chemical Sciences , Queensland University of Technology, Brisbane, Queensland, Australia, 4 Research School of Physics and Engineering, Australian National University, Canberra, Australian Capital Territory, Australia, 2 Dipartimento di Fisica, Universita' di Roma Tor Vergata, Roma Italy
Show AbstractControlled synthesis of carbon nanotubes (CNTs) is highly desirable for nanoelectronics applications. To date, metallic catalyst particles have been deemed unavoidable for the nucleation and growth of any kind of CNTs. Ordered arrays of nanotubes have been obtained by controlled deposition of the metallic catalyst particles. However, the presence of metal species mixed with the CNTs represents a shortcoming for most electronic applications as metal impurities are incompatible with silicon semiconductor technology. Recently it has been shown that it is possible to create nanotubes without the presence of metallic catalysts,using SiO2 [1] and Ge [2, 3] amongst other species of nanoparticles [4]. Here we report on metal-catalyst-free synthesis of CNTs, obtained through Ge nano-particles on a Si(001) surface pre-patterned by maskless, lithography-free processing by nanoindentation or Focused Ion Beam. By using acetylene as the carbon feed gas in a low-pressure Chemical Vapor Deposition (CVD) system, multi-walled carbon nanotubes (MWNT) have been observed to grow from the smallest Ge islands. In a typical metal-catalyzed CVD, unless the catalyst nanoparticles have been deposited under a controlled way, nanotubes grow entangled and in high density; conversely, in our experiment only a few nanotubes are formed and originate from specific locations on the pre-patterned substrate.The CNTs and the Ge three-dimensional structures have been analysed by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX) and atomic force microscopy (AFM) in order to assess their elemental features and properties. EDX and SEM results allow confirmation of the absence of metallic contamination on the surface and show growth of CNTs originating from the Ge nanoparticles.References[1]B. Liu, W. Ren, L. Gao, S. Li, S. Pei, C. Liu, C. Jiang and H.-M. Cheng, Journal of the American Chemical Society 131 (2009), p. 2082.[2]T. Uchino, G. N. Ayre, D. C. Smith, J. L. Hutchison, C. H. de Groot and P. Ashburn, J. Electrochem. Soc. 156 (2009), p. K144.[3]A. Capasso, E. Waclawik, J. M. Bell, S. Ruffell, A. Sgarlata, M. Scarselli, M. De Crescenzi and N. Motta, J. Noncryst. Solids (2009 (To be published)).[4]D. Takagi, H. Hibino, S. Suzuki, Y. Kobayashi and Y. Homma, Nano Lett. 7 (2007), p. 2272.
Symposium Organizers
" " Brookhaven National Laboratory
Hongjin Fan Nanyang Technological University
Mato Knez Max-Planck-Institute of Microstructure Physics
Woo Lee Korea Research Institute of Standards and Science (KRISS)
Stanislaus S. Wong State University of New York-Stony Brook
Q9: Fabrication through Inorganic Templates
Session Chairs
Thursday AM, April 08, 2010
Room 2018 (Moscone West)
9:30 AM - **Q9.1
Interfacial Reaction Growth of Porous Functional Nanomaterials on Templates Prepared by Electrochemical Anodization.
Kai Wu 1
1 College of Chemistry and Molecular Engineering, Peking University, Beijing China
Show AbstractFunctional nanomaterials for applications in photonic crystal laser, dye-sensitized solar cell and so on can be template-assisted grown on porous templates prepared by electrochemical anodization. In this presentation, we’ll show that various types of functional crystalline nanonets can be routinely fabricated by the developed interfacial reaction growth approach on anodized aluminum oxide (AAO) template. The pore size and inter-pore distance of these nanonets can be tweaked by the parameters used during the electrochemical process. In particular, on the AAO template, a thin layer of complex oxide crystalline nanonet can be initially prepared by the interfacial reaction of the incoming reactive species with alumina in the template. A second crystalline nanonet can then be further grown on top of the thin layer of the grown nanonet by mimicking epitaxial growth under atmospheric conditions. In such a way, a large-area zinc oxide crystal laser has been successfully fabricated whose light output is spatially confined around the surface normal direction due to the diffraction and interference effects of the nanopores inside the nanonet. Following the interfacial reaction growth approach, successfully prepared are a series of oxide, complex oxide and metallic nanonets that have potential applications in photonics, magnetics and surface enhanced Raman spectroscopy. On the other hand, we can also employ the electrochemical anodization procedure to prepare a cylindrical porous titanium oxide nanotube array (a cylindrical tubule on the millimeter or micrometer scale whose wall is composed of titanium oxide nanotubes) on a titanium wire, which can be directly used, without post-processing of the electrode, as the anode for a solid dye-sensitized solar cell. By changing the structural parameters of the cell anode, the performance of the solid dye-sensitized cell can be tuned. All these examples show that electrochemical anodization and interfacial reaction growth approach are quite useful in template-assisted growth of ordered porous functional nanomaterials.
10:00 AM - Q9.2
Functional Nanostructures Obtained by PVD, IBI, Metal-organic Self-assembly and Electrochemical Techniques Using Nanoporous Anodic Alumina as Templates and Masks.
Manuel Hernandez-Velez 1 4 , Ruy Sanz 2 4 , Miguel Manso Silvan 1 , Osvaldo de Melo Pereira 3 , Agustina Asenjo 4 , Ignacio Minguez Bacho 4 , Olga Sanchez 4 , Manuel Vazquez 4
1 Applied Physics, Universidad Autonoma de Madrid. Unidad Asociada al ICMM-CSIC: GMNF. Campus Universitario de Catoblanco. 28049, Madrid, Madrid, Spain, 4 Instituto de Ciencia de Materiales de Madrid, ICMM - CSIC. Campus Universitario de Catoblanco. 28049, Madrid, Madrid, Spain, 2 NANOATE, Parque Científico. Campus Universitario de Catoblanco. 28049., Madrid, Madrid, Spain, 3 Facultad de Física., Universidad de la Habana. Colina Universitaria, Ciudad de la Habana, Ciudad de la Habana, Cuba
Show AbstractNanoporous Anodic Alumina Films (NAAF) have been used for growing a lot of nanostructure functional materials. Of particular interest is the fabrication of Nanoporous Anodic Alumina Membranes (NAAM) from NAAF with different highly controlled pore diameter and distribution to be used as templates and masks for the growth of a wide variety of nanomaterials. In this work we present the latest results in our research group related to the use of different NAAF and NAAM as templates in which we have grown II-VI semiconductor and magnetic Nanowires, as well as, biofunctionalised materials. For semiconductor Nanowires and Nanotubes growth, Isothermal Close Space Sublimation (ICSS) and Magnetron Sputtering have been used. Magnetic Nanowires were grown by mean of the well established electrochemical methods for electroplating. On the other hand, Nanowires, Nanorods and Nanobars have been obtained by using both, empty and previously functionalized NAAM as masks in an Ionic Beam Irradiation (IBI) setup on TiO2 Rutile phase surfaces. The geometric characterization of the as grown templates and masks has been made based on self correlation functions. A brief discussion about structural, optical, magnetic and biological properties of the obtained nanomaterials is presented based on the application of multiple characterization techniques such as: X-ray Diffraction, Rutherford Backscattering, Fluorescence and Reflectance Spectroscopy, Magnetic Force and High Resolution Scanning Microscopy and Vibrating Sample Magnetometry.
10:15 AM - Q9.3
Surface Relaxation and Size-dependent Properties of Microstructure-controlled Sn Nanowires in AAO Templates.
Jae Yong Song 1 , Ho Sun Shin 2 , Jin Yu 2 , Yong Sung Kim 1 , Hyun Min Park 1
1 Division of Industrial Metrology, Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of), 2 Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractNanometer scaled materials such as nanowires and nanoclusters have the exceptional properties rather than their bulk form. Therefore, the size-dependent properties of nanomaterials have been measured and analyzed. Many experimental and theoretical studies showed that they originate from the high surface-to-volume ratio and the surface relaxation adjacent to the surface layer. Previously, we reported the size effects on the melting temperatures of Sn nanowires which were grown in the anodic aluminum oxide (AAO) templates. The melting behaviors such as the meting points and the fragmentation were not only dependent upon the wire radius but also the aspect ratio of length-to-radius. More recently, it was found that the longitudinal lattices of single crystalline Sn nanowires, which were dependent upon the wire radius, were under a tensile stress state, contrary to the other reports. In this talk, we investigate the physical origins of size-dependent properties of Sn nanowires in theory and experiment and the effects of crystal anisotropy on the nanowire lattices. The Sn nanowires with the various microstructures of single crystalline, granular, and polycrystalline bamboo structure, respectively, are prepared within the AAO templates. The longitudinal lattice strains can be varied from 0.1 % in compression to 1 % in tension depending upon the wire microstructures. Elasticity theory and density functional theory calculation demonstrate that the size-dependent lattice deformation can be controlled by the strong anisotropy of the effective surface stresses which are determined by the surface relaxation.
10:30 AM - Q9.4
Electrodeposition of Group III Doped PbTe Nanowires.
Peter Hillman 1 , Angelica Stacy 1
1 Chemistry, UC Berkeley, Berkeley, California, United States
Show AbstractNanowires of thermoelectric materials show promise for improved performance because of reduced thermal conductivity due to boundary scattering and an increased Seebeck coefficient due to quantum confinement. Lead telluride with its large Bohr exciton radius is ideal for achieving this quantum confinement. However, it is difficult to produce stoichiometric pure phase PbTe by electrodeposition because of the difference in the reduction potentials of lead and tellurium. Using citric acid as a complexing agent for Te, the reduction potential can be shifted closer to that of Pb. Additionally, citric acid improves the solubility of tellurium so that less acidic solutions are needed. The conditions that lead to the electrodeposition of stoichiometric pure phase PbTe nanowires in porous anodic alumina with 40nm pores will be presented. Additionally, the group III elements, indium and thallium, can be used to dope these wires n-type and p-type respectively. Dopant concentrations can be quantified by electron microprobe and correlated to solution concentration. Lattice parameters determined by x-ray diffraction of the as deposited and post-annealed wires indicate the dopant is being incorporated into the lattice without any phase segregation. A possible mechanism of electrochemical incorporation will be discussed.
10:45 AM - Q9.5
Nano Heterojunctions of Cadmium Sulfide and Cadmium Telluride for Photoelectrocemical Cell Applications.
Nurdan Demirci Sankir 1 , Bahadir Dogan 1 2 , Mehmet Parlak 3 , Zuhal Kucukyavuz 2
1 Micro and Nanotechnology Graduate Program, TOBB University of Economics and Technology, Ankara Turkey, 2 Chemistry, Middle East Technical University, Ankara Turkey, 3 Physics, Middle East Technical University, Ankara Turkey
Show AbstractClean energy is one of the hot subjects in the last two decades since global warming has become a worrying problem for the future of the human being. Therefore, studies on the renewable energy sources have started to increase rapidly. Photoelectrocemical cells are one of the potential solutions to prevent the global warming. Basically, PEC solar cells convert the solar energy into electrical energy by means of an electrochemical reaction, which occurs at the semiconductor-electrolyte interface. Recently, there has been a tremendous interest in nano structured materials for PEC applications due to their high performances. In this study, a very cost effective template-based electrochemical technique has been used to synthesize the nano hetorjunctions of cadmium sulfide (CdS) and cadmium telluride (CdTe). First the effects of the synthesis conditions on the morphological, optical and photoelectrochemical properties of CdS nanowires have been investigated. SEM analysis showed that the average length of CdS nanowires varied from 500 nm to 4 μm depending on the deposition time and voltage. Also, average diameter of the CdS nanowires ranged between 100 and 200 nm. The structures of CdS nanowires have been confirmed by XRD and EDX analysis. Moreover, the electrical characteristics of CdS nanowires depending on deposition time and voltage have been studied and the effect of annealing (as a post-treatment) at different temperatures has been also investigated. Photoelectrochemical performances of CdS nanowires revealed that there is a dramatic change in the photelectrochemical performances with the change in deposition time and voltage. The maximum Fill Factor (FF) and power efficiency (η) of the CdS nanowires has been calculated as 45 % and 1.4 % which are close values to literature values.After the optimization of the CdS nanowire deposition conditions based on the PEC performance, CdTe nanostructures have been deposited on CdS nanowires at various deposition time. SEM analysis revealed that CdTe nanostructures have a tendency to grow as nanoclusters. It was observed that the density and the average diameter of the clusters was function of the deposition time. The average diameter of the CdTe nanoclusters after 9 hour deposition reached about 260 nm. The successful heterojunction of CdS nanowires with CdTe nanolusters have been observed in the SEM analysis. It has been concluded that the PEC performances of the CdS nanowires improved significantly after CdTe deposition. The maximum obtained η in this study is about 8.04 %. This is one of the highest efficiencies reported in the literature for the nanowire array photoelectrochemical cells.
11:45 AM - Q9.7
Magnetic Vortex Configurations in NiFe Nanotubes.
Hwifen Liew 1 , Xinghua Wang 1 , Wen Siang Lew 1
1 Physics and Applied Physics,School of Physical & Mathematical Sciences,, Nanyang Technological University, 21 Nanyang Link,637371 Singapore
Show AbstractNanoscale magnetic structures have received considerable research interest due to their potential applications in non-volatile memory[1], and nanomedicine[2]. Magnetic nanotube is one interesting nanostructure as its hollow feature can be used to encapsulate bio-molecules for possible drug delivery applications[3]. In this work, we report the fabrication and characterisation of ferromagnetic NiFe nanotubes. The NiFe nanotubes were grown on anodisc alumina oxide (AAO) templates (Whatman, Anodisc 13) of 200 nm pore diameter using pulsed electrodeposition techniques. Before the electrodeposition process, a 50 nm copper layer is sputtered onto one side of the AAO template to create conductive sidewalls. NiFe nanotubes are formed when nickel and iron molecules deposited along the sidewalls of the AAO template. The inner diameter of the nanotube is found to be dependent on the thickness of the copper backside layer. That is, if the copper layer thickness is greater than the pore size of the AAO, nanowires are obtained instead of nanotubes. Our x-ray diffractometer (XRD) measurements show distinct peaks at (111), (200) and (220) directions which indicating that the fabricated nanotubes are of nanocystalline structure. Scanning electron microscopy images show that the average NiFe thickness and length of the nanotubes is 80 nm and 1 um, respectively. Vibrating sample magnetometry (VSM) measurement gives a saturation magnetization along the NiFe nanotube of 103 μemu. OOMMF 3D Solver program[4] was used to simulate the nanotube magnetisation reversal process. When a negative saturation field is applied along the nanotube axis, the nanotube magnetization follows the field direction. By decreasing the magnetic field, the spins in the nanotube start to relax from the field direction. To achieve the energy minimization condition, the spins curled along the nanotube axis, while the magnetization configurations at the two ends of the nanotube are in clockwise and counterclockwise vortex configurations. The formation of vortex configuration is due to the large surface charge at the both ends of the nanotube. When the field is further increased to the positive direction, the magnetization in the two end of the tube start to swerve from the surface, and eventually align along the positive saturation field direction. References1.Y. W. Rheem, et al. Phys. Stat. Sol. (2007); 204, No.12: 4021-4024.2.K. An and T. Hyeon, Nanotoday, (2009); No.4: 359-373.3.Y. C. Sui, et al. Appl. Phys. Letts (2004); 84: 1525-1527.4.OOMMF Oxs Extension Modules. (http://math.nist.gov/oommf/contrib/oxsext/)
12:00 PM - Q9.8
Synthesis of Multifunctional Nanotubes through Membrane-templated Layer-by-layer Assembly: Growth Mechanism and Applications.
Cecile Roy 1 , Jessem Landousli 2 , Christine Dupont 1 , Alain M. Jonas 1 , Sophie Demoustier 1
1 , UCL - Institut de la Matière Condensée et des Nanosciences, Louvain-La-Neuve Belgium, 2 , Université Pierre et Marie Curie - Laboratoire de Réactivité de Surface, Paris France
Show AbstractDue to their potential application in optical, electronic, magnetic and biomedical devices, a considerable attention is currently being paid to nanomaterials such as nanowires and nanotubes, especially those including multicomponents or presenting multifunctional properties. A promising technique to synthesise nanostructures of varying compositions is the template method combined with layer-by-layer assembly (LbL). On the one hand, the template method, consisting of filling the cylindrical pores of nanoporous membranes with the desired materials, allows a very good control over the dimensions of the resulting nanostructures. On the other hand, LbL, based on the alternate adsorption of complementary species such as oppositely charged polyions (synthetic polyelectrolytes, biomacromolecules, dyes, nanoparticles,…), is a simple and versatile technique for assembling a wide range of materials on different types of substrates in various shapes and sizes.With the aim of synthesizing well-shaped nanotubes or nanowires, we investigate the mechanism of polyelectrolyte multilayers (PEM) assembly in nanoporous templates. To this end, we focus on the effect of parameters related to the geometrical constraints (pore diameter), the size of the macromolecules (their molar mass and the ionic strength), as well as the interaction between the pore walls and the adsorbed chains (modulated by the ionic strength). Our results reveal the existence of two regimes in the mechanism of PEM growth : (i) the first regime is comparable to that observed on flat substrates, including the influence of ionic strength, (ii) the second regime, slower in terms of “kinetics”, results from the interconnection established between polyelectrolyte chains across the pores and leads to the formation of a dense gel. As a consequence, the diffusion of polyelectrolytes in nanopores becomes the controlling factor of PEM growth in this second regime. The dense gel, owing to its peculiar structure, enhances the formation of nanowires or of partially occluded nanotubes, depending on initial pore dimensions.The LbL template-based method is then used for the elaboration of multifunctional nanotubular vectors consisting of a polypyrrole (PPy) shell and a biofunctional polyelectrolyte core. Such assembled anisotropic structures may provide new candidates for gene delivery applications. Well-defined PPy nanotubes are first electrochemically synthesized inside the pores of a membrane. Then, the inner surface of these nanotubes is functionalized by the LbL technique using DNA as polyanion. The polycation is a biodegradable poly(amino ester) which permits to condense DNA inside the PPy nanotubes and to release afterwards the genetic cargo under physiological conditions.
12:15 PM - Q9.9
Polymer Nanotubules Prepared by the Layer-by-layer Deposition within AAO Membrane Templates with Pore Diameter Less Than 100 nm.
Younghyun Cho 1 , Woo Lee 2 , Ulrich Goesele 3 , Young K. Jhon 4 , Jan Genzer 4 , Kookheon Char 1
1 School of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of), 2 , Research Institute of Standards and Science (KRISS), Daejeon Korea (the Republic of), 3 , Max Planck Institute of Microstructure Physics, Halle Germany, 4 Department of Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractNanotubules have recently received much attention owing to their potential applications in microelectronics, biosensors, drug delivery systems and many others. It has been regarded as a daunting task to realize polymer nanotubes with diameter less than 200 nm based on the layer-by-layer deposition of polyelectrolytes on the sidewalls of nanoporous templates such as anodic aluminum oxide (AAO) membranes. When porous substrates with diameter less than 200 nm were immersed in a polymer solution, polymer chains cannot easily diffuse into the pores and also can not be readily adsorbed onto the inner template walls due to the entropic entry barrier. The immediate consequence is that the pore mouth is blocked by adsorbing polymers impeding further migration and adsorption of polymer chains within the pores during the layer-by-layer process. In the present study, in order to overcome such entropic barrier, we controlled the ratio of polymer dimension to pore diameter by varying the molecular weight of polymers and, at the same time, finely tuned the chain conformation and the aggregation condition by adjusting solution pH (for weak polyelectrolytes) as well as the valency of salts (for strong polyelectrolytes) in solution for the uniform deposition of polyelecrolytes on the sidewalls of AAOs. By optimizing these control parameters, we finally succeed in demonstrating well-defined polymeric nanotubules with diameters less than 100 nm.
12:30 PM - Q9.10
Novel Synthesis of Various Single- and Multi-layer Polymer Nanotubes by Using Porous Anodic Aluminum Oxide (AAO).
Yuwon Lee 1 , Jin-Kyu Lee 1
1 Chemistry, Seoul National University, Seoul Korea (the Republic of)
Show AbstractIn recent years, there has been a great deal of research interests in the fabrication of polymer nanotubes in a potential area, since such could be potential candidates for practical applications in biochips, biosensors, bioseparations, electronics and etc. The current methods of fabrication of polymer nanotubes are sol-gel processes, self-assembly processes, polymerization and wetting by using porous anodic aluminum oxide (AAO). But these methods are complicated, time-consuming and expensive. Also, these methods remain polymer film on pore mouth. For this reason we try to prepared polymer nanotubes in the easier process. We could prepare the polymer nanotubes with tens nm to hundreds nm and finely tune the structure of it by spin casting method, and these method turned out to be a simple and economic method for fabricating polymer nanotubes. For example the wall thickness of polymer nanotubes can be tuned by controlling concentration and solvent type of polymer solution, channel length and pore diameter of AAO and spin rate. We prepared various single-layer polymer nanotubes (polystyrene (PS), poly(methylmethacrylate) (PMMA), and block copolymer, silica) and multi-layer nanotubes. Each polymer layer of multi-layer polymer nanotubes was confirmed by TEM or fluorescence microscope.
12:45 PM - Q9.11
Routes to Hydrothermal Carbon Nanostructures via Template Based Strategies.
Robin White 1 , Shiori Kubo 1 , Markus Antonietti 1 , Maria-Magdalena Titirici 1
1 , Max Planck Institute for Colloids and Interfaces, Potsdam Germany
Show AbstractProduction of functional nanostructured carbonaceous materials starting from inexpensive naturally occurring precursors may be achieved via the hydrothermal carbonization (HTC) of carbohydrate-based biomass. This practical approach is very efficient and consists of the thermal dehydration / transformation of sugar-based precursors in the aqueous phase at temperatures typically approaching 180 - 200 °C. However this approach, whilst providing uniform monodisperse carbonaceous spheres, affords limited material textural properties in terms of porosity and surface area. Therefore, to demonstrate full application potential (e.g. in catalysis, chromatography, adsorbents etc), porosity must be introduced via applicable templating strategies. In this context, the use of soft templates, hard templates (e.g. alumina membrane, in-situ formed Te or Se nanostructures), naturally occurring bioinorganic/organic composites and polymeric nanospheres (e.g. latex), to facilitate the preparation of high surface / volume, (meso)porous nanostructures will be presented. Furthermore, the introduction of useful heteroatom dopants (e.g. nitrogen) into these promising functional 0D or 1D nanostructures will be shown to be easily achieved via selection of appropriate heteroatom containing precursors. Processing route selection (e.g. thermal vs. microwave heating) as a useful morphology control vector will also be discussed. The use of the HTC process, carbohydrate biomass and aqueous reaction conditions allows the preparation of useful carbon nanostructures in a cost effective and efficient manner, suitable for the preparation of a wide range of useful nanostructured materials.
Q10: Fabrication through Organic Templates
Session Chairs
Thursday PM, April 08, 2010
Room 2018 (Moscone West)
2:30 PM - **Q10.1
Functional Inorganic Nanowires, Nanotubes, Nanorings and Nanocables: Template Guide Synthesis, Property and Their Applications.
Shu-Hong Yu 1 2
1 Division of Nanomaterials & Chemistry, Hefei National Laboratory for Physical Sciences at Microscale, University of Science and Technology of China, Hefei China, 2 Department of Chemistry, University of Science and Technology of China, Hefei China
Show AbstractControlled synthesis of 1D nanostructures with special functionalities has attracted intensive attention. Here, we will report several facile synthetic protocols for controlled synthesis of several kinds of unique inorganic nanowires, nanorings, nanotubes, and nanocables by use of simple organic molecules, surfactant or low molecular weight polymer as additives. Ultrathin Te nanowires and their assemblies can be synthesized by one-pot approach. Templating against Te ultrathin nanowires will allow possible to synthesize a series of semiconductor nanowires, noble metal (Pt or Pd) nanotubes and nanowires. In addition, Ni-Co alloy nanorings and their assemblies loaded with Au nanoparticles can also be synthesized. The templating effects of an additive and its synergistic effects with growting nanostructures will be discussed. The property of these 1D nanostructures such as photoconductivity, conductivity, magnetic properties and their potential applications will be reported.
3:00 PM - Q10.2
Selective and Continuous Metallization of Lambda DNA and DNA Origami Using Palladium Seeding.
Yanli Geng 1 , Jianfei Liu 2 , Elisabeth Pound 1 , Corrine Olsen 2 , John Harb 2 , Adam Woolley 1
1 Department of Chemistry and Biochemistry, Brigham Young University, Provo, Utah, United States, 2 Department of Chemical Engineering, Brigham Young University, Provo, Utah, United States
Show AbstractMetal nanowires have been prepared by solution electroless plating of DNA on silicon or mica surfaces. In moving toward smaller-diameter, continuous and conductive structures, greater metallization selectivity is needed. Here, we demonstrate a simple, high-selectivity and rapid palladium seeding method for preparing continuous nanowires from lambda DNA and DNA origami on mica surfaces. Several factors including activation time, reducing agent concentration, and magnesium ion concentration were studied. Atomic force microscopy was used to characterize the topography of DNA before and after metallization; the palladium nanowires had heights of 30 nm or less. We are now starting to measure the conductivity of these palladium nanowires. We are also working to plate our palladium-seeded DNA structures to make nanomaterials for electronic circuits.
3:15 PM - Q10.3
Palladium Nanowires and Nanotubes from Porous Anodic-Aluminum-Oxide Template-confined Electrodeposition and Their Based Hydrogen Nanosensors.
Dachi Yang 1 , Luis Fonseca 1 , Jennifer Carpena 1 , Luis Valentin 1 , Oscar Resto 1
1 Physics, University of Puerto Rico, San Juan, Puerto Rico, United States
Show AbstractPalladium (Pd) Nanowires (NWs) and Nanotubes (NTs) have been synthesized via sputtering of Au layer on the planar surface side of Porous Anodic Aluminum Oxide (AAO) template and subsequent electrodeposition. A discussion is given on how the geometric parameters of the Pd NWs and NTs could be tailored by tuning the parameters of the AAO geometry, the sputtered Au layer and of the electrodeposition. Hydrogen gas sensor prototypes using the as-synthesized Pd NWs and NTs, have been characterized and their performance is discussed. The results found for these hydrogen nanosensors are suitable for practical applications.
3:30 PM - Q10.4
Nanostructure Toxicity: Absorbing Ultrafine Nanoparticles via Porous Percolator Film of Prismatic Alumina Nanowires.
Lin Pu 1 , Zhou Xu 1 , Yang Zhang 1 , Lijia Pan 1 , Xinghua Li 2 , Junjie Zhu 2 , Zili Xie 1 , Feng Yan 1 , Ping Han 1 , Rong Zhang 1 , Youdou Zheng 1 , Yi Shi 1
1 Nanjing National Laboratory of Microstructures. Key Laboratory of Advanced Photonic and Electronic Materials. Department of Physics, Nanjing University, Nanjing China, 2 Key Laboratory of Analytical Chemistry for Life Science (MOE). School of Chemistry and Chemical Engineering, Nanjing University, Nanjing China
Show Abstract Recently, some toxicological studies on low-dimensional structures throw a surprise. The study almost can suggest a definitive deduction that any nanomaterial might be highly hazardous, even if it’s just made of carbon.[1-3] This hazard is referred to as structure toxicity.[4] The experiments studied the effect of carbon nanotubes on phagocytosis by macrophages and clearing from tissues. Whereas macrophages can engulf multiwall carbon nanotubes with a low aspect ratio (of length to width) before their clearance by draining lymph vessels, however, multiwall carbon nanotubes with a high aspect ratio cannot be cleared and accumulate in tissues, where they promote carcinogenesis.[1-3] In this paper, we report a preliminary study on the lethal effect of quasi-spherical nanoparticles to the leaf from Magnolia Grandiflora, which was cultured in the water “polluted” with CdS or Ag nanoparticles (~ 5 nm). The toxicological test revealed that the nanoparticles were lethal to the leaf. Although our toxicological tests were applied with plant, the revealed lethal effect of CdS and Ag nanoparticles connotes some similarities to those of the mice.[1-4] We deduce that simple state like accumulation of ultrafine small particles could also pose big challenge to any removing process. This is because of particles’ attaching, and very possibly, permanent bonding upon the surface (defect-induced trapping). The surface-trapped nanoparticles can further act as the seeds to trigger following growth of irregular dendrite crystals (particles’ conglutination). This case can be much serious in living organisms due to weak acid/base humoral environment. Therefore, although it needs further research to evaluate this effect on human, we call serious attention of nano community. Upon this test, we developed an effective method to decontaminate the culturing solution of the leaf by using a porous percolator film that was packed with the prismatic alumina nanowires. This percolator can absorb nanoparticles that are too small to be erased via conventional filter or centrifuge, and work well even if the diameter of the percolating channel is larger than that of nanoparticles. Therefore, prismatic alumina nanowire is powerful collector to trap nanoparticles, which can find useful applications in nanoscience, biology, and environment protection.References[1] A. Takagi, A. Hirose, T. Nishimura, N. Fukumori, A. Ogata, N. Ohashi, S. Kitajima, J. Kanno, J. Toxicol. Sci. 2008, 33, 105–116. [2] C. A. Poland, R. Duffin, I. Kinloch, A. Maynard, W. A. H. Wallace, A. Seaton, V. Stone, S. Brown, W. MacNee, K Donaldson, Nat. Nanotechnol. 2008, 3, 423–428.[3] K. Kostarelos. Nature Biotechnol. 2008, 26, 774–776. [4] J. M. Davis, J. Addison, R. E. Bolton, K. Donaldson, A. D. Jones, T. Smith, Br. J. Exp. Pathol. 1986, 67, 415–430.
3:45 PM - Q10.5
Porous B/N-doped Carbons.
Tim-Patrick Fellinger 1 , Robin White 1 , Maria-Magdalena Titirici 1 , Markus Antonietti 1
1 Colloid Chemistry, Max Planck Institute of Colloids and Interfaces, Potdam-Golm, Brandenburg, Germany
Show AbstractThe structural advantages of porous carbons coupled with their unique chemistry together has lead to their use in a variety of applications including gas separation, water purification and catalyst supports as well as important new areas in electrochemistry (e.g. electrodes for supercapacitors and fuel cells).[1] Recent reports have show that heteroatom doped carbon can act as an inexpensive metal-free catalyst in the oxygen reduction reaction.[2] The introduction of dopants into the carbon structure is known to enhance performance of the double-layer electrode in supercapacitor applications due to the pseudo-capacitive effect.[3] The combination of B and N dopants generates both acidic and basic heteroatoms in the graphitic structure, producing a strong pseudo-capacitive effect due to reversible chemical surface reactions. By moderation of nitrogen and boron content, it will be demonstrated that it is possible to tailor electronic properties for desired end-applications. In this context, we have previously described the preparation of high content nitrogen doped and conductive graphitic carbons (g-carbons) with high surface areas via the use of hard templating / nanocasting strategies employing ionic liquids (ILs) as precursors.[4] ILs can easily be infiltrated into the template structure, possess no vapour pressure, and carbonization can occur without high-pressure or special safety concerns. Suitable boron and nitrogen-containing ILs will be described and employed as carbon precursors for the preparation of B/N-doped g-carbon will be discussed. Our latest research regarding new routes to B / N doped carbons via non-conventional hard templates and soft templating strategies will also be presented, demonstrating the suitability for the electrochemical applications described above, significantly employing the hydrothermal carbonization approach to generate sustainable nanostructured materials for high value end applications.[5]
4:30 PM - **Q10.6
Meso Size Effect of Fullerene: Dimension-confined Self-assembled Fullerene Structures and Their Unprecedented Optical Properties.
Hee Cheul Choi 1 , Chibeom Park 1
1 Department of Chemistry, Pohang University of Science and Technology, Pohang Korea (the Republic of)
Show AbstractQuantum size effect (QSE) is one of the most important phenomenological issues that have provided fundamental backgrounds for the emergence of nanoscience and technology. The QSE is responsible for unusual electrical and optical properties of a material, which is frequently observed when its size becomes significantly small (at least smaller than its Bohr radius). Hence, the original concept of QSE is ‘top-down’ although the actual demonstration of QSE has been mainly accomplished by directly synthesizing specific quantum scale structures from corresponding individual precursor molecules. In this presentation, we will introduce the ‘meso size effect (MSE)’ that signifies unprecedented property appearance from self-assembled mesostructures, of which unit molecules do not exhibit such a property when they are not self-assembled. Consequently, the MSE has a concept of ‘bottom-up’. While there could be many examples of MSE from previously known self-assembled molecular systems, we demonstrate one here with self-assembled fullerene mesostructures prepared at the interfaces of vapor-solid and liquid-solid at room temperature. During the synthesis, especially at the liquid-solid interface, it has been confirmed that the geometrical shape of solvent molecule is critically determinant for the geometry of resulting fullerene mesostructure. The MSE of the self-assembled fullerene mesostructure is represented by unexpectedly increased photoluminescence intensity that is two orders of magnitude higher than the intensity level measured when fullerenes are present individually.
5:00 PM - Q10.7
Palladium Complexation on Amine/Thiol Moieties, Leading to the Full Layered Growth on the Tobacco Mosaic Virus.
Jung-Sun Lim 1 , James Culver 2 , Michael Harris 1
1 School of Chemical Engineering, Purdue University, West Lafayette, Indiana, United States, 2 Center for Biosystems Research, University of Maryland Biotechnology Institute, College Park, Maryland, United States
Show AbstractBiomacromolecules and their self-assembled structure are a promising method to prepare nanostructured organic-inorganic hybrid materials. The Tobacco mosaic virus (TMV) has served as a model template to investigate biomediated inorganic mineralization. TMV is a macromolecular self-assembly of ~2130 identical coat proteins containing a single strand of RNA forming a 18nm×300nm nanorod. The external surface of TMV is made up of repeating units, 2.3×3.5 nm2. Genetic engineering enables the manipulation of TMV surface functionalities; thus, providing methodologies to manipulate its mineralization properties.One restriction to investigating biomineralization is our limited understanding of the interaction between precursor sorption and surface mineralization. This process has been explained with hypothetical assumptions that metal precursors are electrostatically biosorbed, and then surface minerals grow as the higher valence metal precursor is reduced by a chemical agent. Using surface functionalized silica nanoparticles, we investigated the palladium precursor sorption/desorption under Cl- medium on the amine/thiol terminated silica. The palladium precursor complexation on the amine/thiol terminated silica was characterized with X-ray photoelectron spectroscopy. The palladium precursor hydrolysis (polymeric oxygen/chlorine bearing palladium complex formation) on the TMV1Cys (cysteine mutant TMV) in DI-water produced fully layered uniform palladium nanowires, 30 nm in diameter and hundreds nm in length. The results suggest that the precursor complex on the surface moieties of the TMV and the subsequent nucleation and growth of the metal can yield nanostructured organic-inorganic hybrid materials.
5:15 PM - Q10.8
Nanofibers of Calcium, Barium, and Strontium Carbonate Formed via a Solution-Precursor-Solid (SPS) Mechanism.
Sara Jensen-Homeijer 1 , Laurie Gower 1
1 Materials Science & Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractCalcium, barium and strontium carbonate with various non-equilibrium morphologies, including nanofibrous arrays grown on seed substrates, were grown in the presence of poly(acrylic acid). This is an aqueous-based reaction performed at room temperature, in order to emulate the acidic protein-crystal interactions occurring in biomineralization. The anionic polymer sequesters ions to induce a polymer-induced liquid-precursor (PILP) mineralization process, where liquid-liquid phase separation of droplets of a hydrated amorphous precursor form in the mineralization solution. The droplets accumulate and coalesce, and upon solidification and crystallization, undergo a pseudomorphic transformation to form a variety of non-equilibrium morphologies, including nanofibers with energetically unfavorable curved surfaces. The nanofibers usually grow off of seed substrates (e.g., calcite rhomb seed crystals), which appear to first collect an amorphous mineral coating that then stimulates fiber formation. In our original observations of calcium carbonate fibers, bobble tips seemed to suggest a ‘molten flux droplet’ might be responsible for the one-dimensional growth, where in this case the ‘flux’ droplet consists of an accumulation of PILP phase. Therefore, we proposed a solution-precursor-solid (SPS) mechanism of one-dimensional growth in analogy to the VLS and SLS mechanisms used to produce semiconductor nanofibers. Based on more recent studies with the barium and strontium carbonate nanofibers, we find that the fibers display a nanogranular texture, which seems inconsistent with the proposed SPS mechanism. Therefore, a new mechanism for fiber formation is proposed, which combines a permutation of the former SPS mechanism with mesocrystal assembly. This hypothesis includes an autocatalytic assembly of nanodroplets/nanoparticles at high energy surface protrusions as a means for generating the one-dimensional growth of fibers. The nanofibers diffract as single crystals, even across bends, and have morphologies similar to the fibers found in the teeth of sea urchins. Thus, this process provides an interesting comparison between the processing of nanofibers in electronic materials versus the benign processing conditions found in biomineralization.
5:30 PM - Q10.9
Continuous Composite Nanofibers With Confinement-induced Morphologies.
Marleen Kamperman 1 2 , LaShanda Korley 3 4 , Yong Joo 3 , Ulrich Wiesner 2
1 , INM - Leibniz Institute for New Materials, Saarbruecken Germany, 2 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 3 Chemical and Biomolecular Engineering, Cornell University, Ithaca, New York, United States, 4 , Case Western Reserve University , Cleveland, Ohio, United States
Show AbstractComposite nanofibers with ordered structures have many promising applications in areas including sensors, catalyst supports, controlled release of active compounds, optoelectronics and separation. Here, we report the nanomanufacturing of nanocomposite fibers with ordered confinement-induced morphologies using coaxial electrospinning. Core-shell nanofibers with poly(isoprene-block-dimethylaminoethyl methacrylate) (PI–b–PDMAEMA) block copolymer / polymer derived ceramic (PDC) precursor nanocomposites as cores enveloped in rigid polyacrylonitrile (PAN) shells were fabricated. The amphiphilic block copolymer is used as a structure directing agent for the PDC precursor. Selective swelling of the hydrophilic microdomains of the block copolymer with the PDC precursor results in cooperative self-assembly of the block copolymer and the PDC precursor into nanostructured morphologies. The structure is permanently set by crosslinking the PDC precursor with a radical initiator. The resulting fibers had diameters ranging from 100 to 300 nm. The cylindrical confinement imposed by the rigid shell led to helical and stacked toroidal morphologies in the core for nanocomposites that form a two-dimensional hexagonal morphology in the bulk. This process provides a versatile pathway to continuous ultrathin nanocomposite fibers with novel ordered morphologies generated by confined assembly, which may lead to the nanomanufacturing of composite materials ordered on the nanoscale in industrially viable quantities. The possible chirality of the helical fibers could be particularly interesting for applications like enantioselective separation.
5:45 PM - Q10.10
Templated Growth of Glassy Carbon Nanowires: From Disordered Carbon to Folded Graphene.
Benedict Samuel 1 , Ramakrishnan Rajagopalan 2 , Henry Foley 3 , Aman Haque 1
1 Department of Mechanical and Nuclear Engineering , Pennsylvania State University, University Park, Pennsylvania, United States, 2 Department of Materials Science & Engineering, Pennsylvania State University, University Park, Pennsylvania, United States, 3 Department of Chemical Engineering, Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractIt is well known that glassy carbon does not graphitize, or heat treatment of even 3000 C does not appreciably change the atomic order. However, we show that glassy carbon nanowires grown in templates can show unprecedented degree of graphitization. Poly-furfuryl alcohol derived glassy carbon nanowires were synthesized with various degrees of structural disorder by pyrolizing them at temperatures ranging from 600 C to 2000 C. Electrical transport in single glassy carbon nanowires was investigated as a function of temperature (ranging from 25 C to 175 C) and structural order. Raman spectroscopy results show evolution of well-defined D and G peaks indicative of sp2 carbon. The sharp increase in the intensity at 2000 C implies that some of the linear sp2 carbon have formed six member aromatic structures, leading to very high electrical conductivity. The transmission electron microscopy results show evidence of growth of turbo-stratic layers at 1500 C, while extensive folded graphene-like structure are seen at 2000 C. In our dark DC conductivity measurements, we observed more than 8 orders of magnitude increase in the electrical conductivity as the pyrolysis temperature increases from 600 C to 2000 C, which indicates the pronounced influence of the structural order in the nanowires. We propose that the molecular alignment in the polymeric precursor during the templating results in such enhanced graphitization otherwise absent in the bulk or micro forms.
Q11: Poster Session: Nanofabrication by Soft Templates and Other Techniques
Session Chairs
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - Q11.1
Anodic Aluminum Oxide (AAO) With Encoded Pore Structures.
Woo Lee 1 , Jae-Cheon Kim 1 , Ulrich Goesele 2
1 , Korea Research Institute of Standards and Science, Daejon Korea (the Republic of), 2 , Max Planck Institute of Microstructure Physics, Halle Germany
Show AbstractNanoporous anodic aluminum oxide (AAO) membranes with tailor-made three-dimensional pore structures[1] could provide not only a new degree of freedom in template-based fabrications of advanced functional materials, but also a model system for investigating separations of particles and adsorption characteristics of molecules. In this contribution, we report a generic method of continuous engineering of the internal pore structures of AAO. Nanoporous AAO was prepared by hard anodization of aluminum under potentiostatic conditions[2]. Under unstirred electrolyte condition, spontaneous current oscillations could be observed. As a consequence of the oscillatory kinetic behavior the resulting AAO exhibited modulated pore structures, in which the diameter contrast and the length of pore modulation increase with the amplitude and the period of current oscillations, respectively, and the shape of current peak determines the internal geometry of oxide nanopores, revealing that one may achieve structural engineering of AAO by deliberately manipulating the current during a potentiostatic anodization of aluminum[3]. Based on these observations we were able to develop a new pulse anodization method, in which a series of potential pulses with designated shapes, amplitudes, and periods was continuously applied to synthesize highly ordered AAOs with encoded pore structures. We demonstrated that the resulting AAOs can be a template material by developing novel shape-coded nanowires, the diameters of which are modulated along their axes with controllable manners. In this presentation, we will discuss in detail the factors determining the structure and chemical composition of anodic oxides, but potential applications of newly developed AAOs and shape-coded nanowires or nanotubes for investigating topography-induced optical, electronic and magnetic properties.[1] W. Lee, K. Schwirn, M. Steinhart, E. Pippel, R. Scholz, U. Goesele, Nature Nanotech. 3, 234 (2008).[2] W. Lee., R. Ji, U. Goesele, K. Nielsch, Nature Mater. 5, 741 (2006).[3] W. Lee, J.-C. Kim, U. Goesele, Adv. Funct. Mater., DOI:10.1002/adfm.200901213 (2009).
9:00 PM - Q11.10
Atomic Layer Deposition on Carbon-based Nanomaterials.
Hongjin Fan 1 , Xianglin Li 1 , Li Sun 1
1 Division of Physics and Applied Physics, SPMS, Nanyang Technological University, Singapore Singapore
Show AbstractHybrid materials of carbon-based nanomaterials with metal oxides can render their applications in capacitors, battery electrodes and efficient field emitters. We employed atomic layer deposition for the coating of metal oxides (Al2O3, ZnO2, and TiO2) on various carbon materials including vertical-aligned carbon nanotubes, graphene and graphene oxides, as well as C60 nanowires self-assembled from solution. The known coating difficulties are encountered, evaluated and solutions are attempted. It is found that Carbon nanotubes can be easily coated probably because of their rich surface defects. The mechanically scratched Graphene has a high surface quality with very few structure defects and chemical groups and are thus not suitable for direct ALD. Chemical treatment of the graphene is needed to serve as bridge between C and MO. Due to similar argument, ALD on graphene oxides obtained from graphite oxides appears easier. ALD coating of ZnO on C60 nanowires and their Raman properties will also be shown.
9:00 PM - Q11.12
Designing Zeolite Nanocrystals for Advanced Zeolite Membranes and Catalysts Using Massively Parallel 3-D Ordered Macroporous (3DOM) Nanoreactors.
Won Cheol Yoo 1 , Sandeep Kumar 2 , R. Lee Penn 1 , Michael Tsapatsis 2 , Andreas Stein 1
1 chemistry, U of Minnesota, MInneapolis, Minnesota, United States, 2 Chemical engineering and materials science, U of Minnesota, Minneapolis, Minnesota, United States
Show AbstractTemplate-confined syntheses of zeolite particles were demonstrated to produce monodisperse particles with well-defined shapes suitable for the fabrication of thin, low-defect membranes and hierarchical zeolite catalysts with secondary porosity for enhanced guest diffusion. The template, three-dimensionally ordered macroporous (3DOM) carbon, can act as massively parallel reaction chambers for either high yield hydrothermal syntheses or combinatorial syntheses of zeolite particles (here, silicalite-1 and ZSM5) with a variety of shapes. In 3DOM carbon, the macropores or "nanoreactors" are interconnected by sub-100 nm windows that prevent transport of solid products but still provide access to precursors into each nanoreactor. The product morphology is controlled not only by the shape of the macropores, but also by several other parameters (surface chemistry of the nanoreactors, the precursor concentration which determines nucleation/growth dominant processes, the number of infiltration steps, the pore position in terms of depth profile of a 3DOM carbon monolith and the dimensions of windows that connect adjacent pores and define transport properties). Depending on the reactor and processing parameters, the products can be uniform particles with morphologies including spheres with corrugated or smooth surfaces, geode-like, hollow zeolite spheres, high-aspect-ratio zeolite needles, imprinted zeolite particles or mixed populations of larger and smaller particles. This nanoreactor engineering approach promises to bring improved control over the morphology of materials prepared by confined syntheses, and the design principles should also be applicable to hydrothermal syntheses of other materials.
9:00 PM - Q11.13
Template Synthesis of Nanostructures Using Ion-track Technology.
Maria Eugenia Toimil-Molares 1 , Ina Alber 1 , Wolfgang Ensinger 2 , Sven Mueller 1 , Reinhard Neumann 1 , Oliver Picht 1 , Markus Rauber 1 2 , Christina Trautmann 1
1 Materials Research, GSI Helmholtz Center for Heavy Ion Research, Darmstadt Germany, 2 Material- und Geowissenschaften, Technische Universität Darmstadt, Darmstadt Germany
Show AbstractOver the last two decades, investigations of nanostructures and in particular nanowires have attracted large interest due to their novel properties and promising applications in various fields such as electronics, thermoelectrics, and sensorics. Since the properties and possible applications of nanoscale materials strongly depend on their dimensions and crystallinity, suitable techniques enabling the controlled growth of nanomaterials with various geometries and compositions are necessary. Here we present how ion-track techniques using beams of MeV to GeV heavy ions available at the GSI accelerator facility in combination with electrodeposition growth offers the powerful possibility of controlling all important parameters of the synthesized nanostructures in an independent manner: the irradiation flux determines the preset density of parallel nanochannels enabling thus the fabrication of both multichannel templates with channel densities up to 5 109 cm-2, and unique single nanochannel templates. The polymer material of choice (e.g., polyethylene terephthalate, polycarbonate, Kapton) together with the etching conditions, determine the geometry of the channels, e.g. cylindrical, conical, biconical. Using electrochemical deposition nanowires with different geometry and of materials such as gold, copper, bismuth compounds, and platinum are synthesized. Their composition and crystallographic characteristics are well controlled by voltage, temperature, and electrolyte applied during the deposition process. Various examples of ion-track-based templates will be presented such as single conical nanopores exhibiting properties interesting for biosensor applications, perforated micromoulds for cell growth, and polymer foils with thickness up to 100 μm and "pre-designed" pore characteristics. In combination with electrodeposition, nanowires with aspect ratio >1000, high surface-to-volume ratio, and well-controlled geometry and crystallinity are synthesized for optical and thermoelectric applications.
9:00 PM - Q11.14
Water Dispersible Nano-graphite Hollow Spheres and Their Photoluminescence.
Ji-Eun Park 1 , Yeongri Jung 1 , Ekaterina Grayfer 1 , Sung-Jin Kim 1
1 , Ewha Womans University, Seoul Korea (the Republic of)
Show AbstractA novel way to prepare water-dispersible graphite multilayered hollow spheres has been developed. It uses a soft chemical route of hydrothermal glucose carbonization on double shell Fe3O4@SiO2 templates. Carbonization on the template, using glucose, happens as the Fe3O4 core is eliminated, resulting in SiO2@C. Nano-sized graphite multilayered hollow spheres are obtained as a final product after the removal of SiO2. They show intrinsic photoluminescence in the 345~745 nm range and their maximum quantum yield is estimated at 0.35%. The controllability of its nano size and hollow structure, intrinsic optical properties, and water solubility mean this material might be suitable for biological and medical applications. The resulting nanocomposites were investigated with transmission electron microscopy, X-ray diffraction, 29Si and 13C MAS NMR, Raman, ultraviolet-visible/near-infrared and confocal spectroscopy.
9:00 PM - Q11.15
Facile Synthesis of Mesoporous Im3m Titania Thin Film With Vertical Pores.
Hamid Oveisi 1 2 , Norihiro Suzuki 1 , Ali Beitollahi 2 , Yusuke Yamauchi 1
1 World Premier International (WPI) Research Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 Department of Metallurgy and Materials Engineering, Iran University of Science and Technology, Tehran, Tehran, Iran (the Islamic Republic of)
Show AbstractPore accessibility of mesoporous structure is one of the most important factors for their applications such as membrane and catalytic reaction. Orientation control of the mesoporous channels in a macroscopic scale strongly affects their properties, such as adsorption affinity of guest molecules and photocatalytic properties of materials. In general, the mesochannels in thin film tend to lie in the substrate plane. In this case, access to the mesopores is not easy. In this presentation, we demonstrate the facile synthesis method for formation of mesoporous Im3m titania thin film with perpendicular mesoporous channels via evaporation-induced self assembly (EISA). By creating the vertical mesoporous channel to the substrate, one can find many applications such as highly sensitive chemical sensors, separators and ultrahigh-density magnetic recording media. Fabrication of this mesostructure was fully controlled by adjusting the sol composition, coating procedure, aging condition and calcination procedure. The fabricated film had channels perpendicular to the substrate that opened to the surface. Each channel consists of cage-type structures with interconnected necks. This structure was formed by shrinkage of the original Im3m mesostructure during the thermal treatment. Effects of some important synthetic parameters such as pH, aging condition, and calcinations procedure on the ordering of the mesostructure and pores morphology were carefully examined. In particular, two different types of aging conditions (i.e., using freeze drying and room temperature under high humidity condition) were compared. The freeze drying aging condition was found as optimum condition for achieving high order structure with perpendicular pore channels in titania mesoporous thin films. By controlling the aging time under the freeze drying condition and choosing the suitable pH, a high order mesoporous titania film with vertical channels was achieved in a short time. The change in the mesostructure and the pore morphology in the mesoporous titania thin film calcined at various temperatures were studied by using the grazing-incidence small-angle X-ray scattering (GI-SAXS). The GI-SAXS patterns showed that the mesostructure shrinkage along the perpendicular direction to the substrate by increasing the calcination temperature.
9:00 PM - Q11.16
Single Nanorod Solar Cell Fabricated With Conducting Polymer and CdSe Blocks.
Sang-Hoon Yoo 1 , Lichun Liu 1 , Sungho Park 1 2 3
1 Chemistry, Sung Kyun Kwan University, Suwon, Gyeongi-Do, Korea (the Republic of), 2 Energy Science, Sungkyunkwan University , Suwon, Gyeongi-Do, Korea (the Republic of), 3 SKKU Advanced Institute of Nanotechnology, Sungkyunkwan University , Suwon, Gyeongi-Do, Korea (the Republic of)
Show AbstractThis contribution demonstrates a novel approach to synthesizing a bucket of nanoscale photovoltaic cells by utilizing electrochemically deposited conducting polymer and CdSe heterojunctions. Single nanorod photovoltaic cells were fabricated with the aid of electrochemical deposition and anodized aluminum oxide (AAO) templates. We successfully constructed Gold-polypyrrole-CdSe-Gold multisegment nanorods. The side metal arms simply act as a lead to facilitate their connection with microscopic circuits. This architecture showed a photovoltaic response when the white light was illuminated on the polypyrrole and CdSe domain. Excitons were separated into electrons and holes at the junction between polypyrrole and CdSe, working as a p-type and n-type semiconductor, respectively. The morphology control of each junction turned out to be critical to improving the efficiency of the resulting solar cell
9:00 PM - Q11.18
Magnetization Reversal in NiFe Nano-triangles.
Xinghua Wang 1 , Chunxiao Cong 1 , Wen Siang Lew 1
1 Physics and Applied Physics, School of Physical and Mathematical Sciences, Nanyang Technological University, 21 Nanyang Link, Singapore, 637371 Singapore
Show AbstractThin film ferromagnetic nanostructures are of considerable interest because of their potential applications in ultrahigh-density recording media and spintronic devices[1].Specifically, patterned magnetic nanostructures like rectangles[2], dots[3] and rings[4] have been studied to understand the influence of shape anisotropy on the magnetic switching properties. In this paper we report the fabrication of a large area of Ni81Fe19 triangles using self-assembled polystyrene nanospheres as templates. Magneto-optical Kerr Effect (MOKE) measurement was carried out to study the magnetic reversal process. Micromagnetic simulation[5] was used to help understand the magnetic switching behaviors.We dispensed a 15 μl droplet of polystyrene nanosphere suspension to a cleaned Si wafer. After drying the nanosphere solution, monolayer close packed nanosphere arrays were obtained due to the self-assembly of the nanospheres. These nanosphere arrays were used as the templates to create the magnetic nanostructure arrays. The diameters of the nanospheres we used were 457 nm, 200 nm, and 80 nm. A 20-nm-thick Ni81Fe19 film was deposited onto the template at a pressure of 4E-7 torr using electron beam evaporation techniques. After deposition, the nanospheres were removed by ultrasonic agitation in CHCl3 solution for 30 seconds, and the nano-triangle array was obtained.MOKE measurements were carried out on NiFe continuous film and the nano-triangle arrays. The magnetic configurations obtained from micromagnetic simulations provide insights on the magnetisation reversal processes of the NiFe nano-triangle arrays. By applying a saturation magnetic field of 1500 Oe, the magnetisations of the nano-triangles are aligned along the field direction. On decreasing the negative magnetic fields, the magnetisation reversal starts from the top corner of the triangular which forms a V-like configuration in the nano-triangle with the opening of the V oriented opposite to the field direction. A transverse domain wall is formed at the opening of the V-state near the top corner. When the magnetic field is ramped from negative to positive direction, this transverse domain wall moves along the open side of the V state from the top corner to the bottom of the nano-triangle. The domain wall movement behavior is reflected in the switching region in the hysteresis loop. The simulation results show that the formation of the V-like configuration and the domain wall movement are the dominant reversal mechanisms of the nano-triangles. References:[1]S. S. P. Parkin et al,Science, vol. 320, pp. 190-194, Apr 2008.[2]S. Hankemeier et al, Phys. Rev. Lett., vol. 103, pp. 147204-4, 2009.[3]M. Tanase et al, Phys. Rev. B , vol. 79, pp. 014436-9, 2009.[4]X. H. Wang et al, J. Appl. Phys., vol. 106, pp. 043905-4, 2009.[5] http://math.nist.gov/oommf/
9:00 PM - Q11.19
Template-assisted Synthesis of Linear Metal Nanoparticle Chains.
Mato Knez 1 , Yong Qin 1 , Lifeng Liu 1 , Seung-Mo Lee 1 , Renbin Yang 1 , Ulrich Goesele 1
1 , Max-Planck-Institute MSP, Halle Germany
Show AbstractTemplate-assisted synthesis is a highly efficient way to obtain functional nanostructures. Available templates range from 0D to 3D which can be decorated in various ways, including liquid and vapor phase chemistry and physical deposition methods. In our work we apply atomic layer deposition (ALD), sputtering methods and electrochemistry in combination with porous alumina, nanowires and nanocoils as templates. We demonstrate a flexible assembly method for producing linear metal nanoparticle chains embedded in nanotubes. The chain formation is based on the Rayleigh instability after annealing metal nanowires confined in nanotubes. In the simplest way, we encapsulate CuO nanowires in thin Al2O3-coatings by ALD. Subsequent reduction with H2 at elevated temperatures leads to free volume which is beneficial for the induction of Rayleigh instabilities upon heating. [1] In the cases, where the free volume cannot be obtained by reduction, another strategy is followed: Beginning with metal nanowires obtained by electroplating inside porous alumina, ALD was applied to coat the wires first with a sacrificial layer then with a shell layer. Subsequently, the sacrificial layer was removed leading to confined wires in nanotubes with a free volume. Finally, embedded nanoparticle chains were produced inducing the Rayleigh instability by annealing the confined nanowires. The same approach can also be inverted: Starting from porous alumina, the shell layer, the sacrificial layer and the metal can be subsequently deposited by ALD and electroplating. In this way, even arrays of nanoparticle chains are obtained, with an order of the underlying porous alumina. This method is quite general not only for different metals but also for different shell materials. We are able to tune the particle spacing and diameter, the shape of the nanochains, the tube diameter and the shell thickness by ALD to a large extent. The particle diameters and the interparticle distances can be as small as few tens of nanometers. [2]
The metal nanoparticle chains opt for a use as plasmonic waveguides. Here, the interparticle distances are of high importance as shorter distances allow for a plasmonic coupling. In those cases, where the interparticle distance, based on the Rayleigh instabilities, become too large, alternative templates are used. For instance, with nanocoils as templates a guided Rayleigh instability is obtained, allowing for closer packing of the linear chains of metal nanoparticles thus leading to plasmonic waveguides.
[1] Y. Qin et al., Nano Lett. 2008, 8, 114-118.
[2] Y. Qin et al., Nano Lett. 2008, 8, 3221-3225.
9:00 PM - Q11.20
Coating Carbon Nanotube With Conductive Polymers by Reactive Template Method.
Lijia Pan 1 , Lin Pu 1 , Yi Shi 1
1 Department of Physics, Nanjing University, Nanjing, Jiangsu, China
Show AbstractMultishell heteromaterial nanotube made of polyaniline (PAni) and carbon nanotube (CNT) was fabricated using a MnO2 coated CNT as template. Moreover, the MnO2 layer acts as the role as the initiator of PAni Polymerization, which results in homogeneously conductive polymers layer coated the carbon nanotube. The method is generally applicable for coating carbon nanotubes with other conductive polymers. Polypyrrole and poly (3,4-ethylenedioxythiophene) coated carbon nanotubes were prepared by using ionic liquid as solvent. The conductive polymer coated carbon nanotube can be using as organic functional material with improved performance for applications, for an instance, electrochemical capacitors.
9:00 PM - Q11.21
Atomic Layer Deposition of Bismuth Oxide and Bismuth Sulfide.
Ren Bin Yang 1 , Julien Bachmann 2 , Ulrich Goesele 1 , Kornelius Nielsch 2
1 , MPI-Halle, Halle, Saxony Anhalt, Germany, 2 Institute of Applied Physics, University of Hamburg, Hamburg Germany
Show AbstractDeposition of bismuth oxide and bismuth sulfide thin films on silicon and nanotubes in porous alumina templates via atomic layer deposition will be presented. Growth dependence on parameters such as temperature, pulsing and exposure durations will be discussed, and their composition and stoichiometry are quantified using energy dispersive X-ray. Investigations of crystallinity are done by X-ray diffraction while spectroscopic ellipsometry is used to determine their growth rate and optical properties. Impurities in the film will be evaluated using TOF-SIM, and surface morphology characterized by atomic force microscopy will also be presented.
9:00 PM - Q11.23
Preparation of Mesoporous ZnO Nanostructures Using Anionic Surfactant Templates.
Sung Ho Kim 1 , Tammy Olson 1 , Joe Satcher 1 , T. Yong-Jin Han 1
1 Chemical Sciences Division, Lawrence Livermore National Laboratory, Livermore, California, United States
Show AbstractZnO nanostructures have received a great deal of interest because of their potential applications in ultraviolet (UV) photodetectors, laser diodes, gas sensors, and photovoltaic solar cells. However, successful examples for mesoporous ZnO nanostructures has been somewhat limited, although introduction of mesoporosity can drastically enhance the surface area and significantly impact ZnO involved applications. Here, we describe a route for the synthesis of mesoporous ZnO using anionic surfactants as structure-directing templates. First, anionic surfactants were prepared from modifying a different length of fatty acid chlorides with various amino acids. Based on this approach, various functionalities could be incorporated with low molecular weight surfactants. ZnO nanostructures were then prepared using these surfactants, prepared through a typical sol-gel synthetic route by changing solution composition, crystallization condition, and additives. The structural details of resulting nanostructures were obtained from X-ray scattering, field emission scanning electron microscopy and transmission electron microscopy. From these combined studies, we discuss the effects of various structural factors of surfactants on the formation of ZnO nanomaterials.
9:00 PM - Q11.24
Controlled Growth of Novel Hyper-branched Nanostructures With AAO Template.
Junping Zhang 1 , Cynthia Day 1 , David Carroll 1
1 , Wake Forest University, Winston-Salem, North Carolina, United States
Show AbstractIn recent years, branched nanostructures have attracted great interest in the studies of nanowires since they can provide nanowires with multidimensional and multifunctional complexity. Here, we report a new procedure for controlling the fabrication of hyper-branched AAO nanochannels. This approach produces “treelike” branches directly from the trunk of the pore through multi-step adjustments of anodic voltage and current during the second anodization of aluminum, respectively. By varying the electrodeposition time, the growth of nanowires with different branches on the vertically-aligned trunk and at the trunk terminal can be controlled within the hyper-branched AAO template. This procedure offers a new route to the preparation of nanowires structures with increased connectivity and novel interconnection of multifunctional points. This fabrication process will be further explored for potential applications in the development of new nanoelectronic and nanophotonic devices.
9:00 PM - Q11.26
Periodic Arrays of Uniformly-sized Quantum Wires and Dots.
Haeyeon Yang 1 , Seong-Nam Lim 1
1 Physics, Utah State University, Logan, Utah, United States
Show AbstractWe report an experimental study of periodic arrays of uniformly sized InGaAs quantum wires and dots on GaAs substrates, grown by Molecular Beam Epitaxy (MBE), patterned by high power laser pulses, and examined by in-vacuum Scanning Tunneling Microscopy (STM). InGaAs layers were epitaxially grown on GaAs(001) substrates using MBE at a low enough temperature so that the typical Stranski-Krastanov growth mode was suppressed. In order to create nano templates, the resultant strained-but-flat epilayers were patterned by irradiating interferential high power laser pulses so that the InGaAs layers were selectively ablated at the interference maxima areas. Annealing the nano templates resulted in either quantum wires or dots depending on the interferential parameters as well as the growth and annealing parameters. The morphologies of the patterned nanostructures and the annealing-induced, self-assembled quantum wires and dots were examined by in-vacuum STM. The correlation between growth and annealing parameters, interferential parameters and the resultant morphologies will be discussed, especially how the size and shape of quantum nanostructures depend on the area and volume of nano templates.* This work is supported by the Energy for Sustainability program of the National Science Foundation.
9:00 PM - Q11.4
Template Fabrication of Nanostructured Biodegradable Polymers With Drug Delivery Applications.
Daniel Bernards 1 , Tejal Desai 1
1 Bioengineering and Therapeutic Sciences, University of California, San Francisco, San Francisco, California, United States
Show AbstractIn the field of drug delivery, many therapeutic treatments are ideally administered to a patient at a constant rate over a certain timeframe. One promising approach to achieve this is with nanoporous films. For a porous material loaded with a therapeutic that is comparable to the pore size of the material, diffusion of therapeutic through the pores is constrained. This can result in zero-order release of therapeutic and a constant rate of drug delivery over time. Unfortunately, nanostructured biodegradable materials are limited, so improved fabrication techniques are required for these materials.In this work, we fabricate nanoporous biodegradable polymer films by templating poly(caprolactone) (PCL) on zinc oxide nanorods. PCL is an excellent candidate material since it biodegrades yet maintains its structural integrity during the majority of the degradation, which allows therapeutic delivery followed by structural degradation. Nanoporous films were characterized with scanning electron microscopy and x-ray photoelectron spectroscopy to demonstrate porous structure and effective template removal. In addition, a small molecule and a macromolecule (fluorescein and bovine serum albumin (BSA)) were used to characterize diffusion through the nanoporous membranes. In particular, fluorescein (much smaller than the pore size) exhibited first-order diffusion, and BSA (similar size to pore size) exhibited zero-order diffusion, which demonstrates the potential of these materials for therapeutic applications.
9:00 PM - Q11.5
Synthesis and Self-assembled of Single-crystalline La0.3Sr0.7MnO3 Nanowires From Template Directed Chemical Solution Deposition.
Adrian Carretero Genevrier 1 , Narcis Mestres 1 , Teresa Puig 1 , Roxana Vlad 1 , Judith Oro 1 , Anna Palau 1 , Carlos Monton 1 , Xavier Obradors 1 , Etienne Ferain 2 , Carmen Ocal 1
1 Superconductors materials , ICMAB-CSIC, Barcelona, Barcelona, Spain, 2 Unité de Physique et de Chimie des Hauts Polymères, Université catholique de Louvain, Louvain la Neuve Belgium
Show AbstractOne dimensional (1D) nanostructures offer a way of tuning the strong interactions between magnetic, electronic and crystal structure of manganites. However, the development of facile, mild and effective approaches for generating size controllable 1D manganite structures remains a significant challenge. In this report, we demonstrate that self standing single crystalline La0.7Sr0.3MnO3 (LSMO) nanowires with diameters ranging from 80 to 200nm and lengths varying from 2 to 7 µm can be successfully synthesized by template assisted chemical solution deposition (CSD) using track-etched polymer membranes of varying pore size. Nanowires were synthesized using a sol-gel based polymer precursor route allowing a good control of the viscosity and stability of the precursor solution, which are crucial parameters for template aided synthesis. The pores of the polycarbonate membranes were filled with the precursor solution by capillarity and subsequently heated at high temperature for polymer elimination, and phase formation and crystallization. We prove that these nanowires are single crystalline and exhibit a monoclinic crystallographic structure not known up to now for manganite [1].In addition, self-assembled epitaxial LSMO monoclinic nanowires are obtained when the same methodology is used with the polycarbonate template directly buffering a fluorite (Zr doped-CeO2) substrate. Further self-organization and coarsening of the nanowires up to 40 µm in length is achieved through kinetic evolution at high temperatures. Finally, nanoscale electrical analysis was performed by current sensing AFM at room temperature of the single monoclinic LSMO nanowires. [1] A.Carretero-Genevrier et al, Adv. Mater. 2008, 20, 3672–3677
9:00 PM - Q11.6
Parallel Electrode Fabrication Using Dip-pen Nanolithography.
Steve Park 1 , Maria Wang 1 , Zhenan Bao 1
1 Chemical Engineering, Stanford University, Stanford, California, United States
Show Abstract Dip-pen nanolithography (DPN) is a relatively recent lithographic technique that uses an “inked” atomic force microscopy (AFM) tip to directly transfer a material of interest onto a surface with sub-100 nm resolution and registration. Since DPN is a constructive direct-deposition mechanism under ambient conditions, it eliminates the necessity of multiple and harsh processing steps as required by other lithographic techniques such as photolithography and e-beam lithography. In an effort to increase the throughput of DPN, parallel lithographic capabilities were engendered with the development of multi-pen arrays, transitioning DPN from a slow-serial process to a high-throughput-parallel lithographic process. Herein, we take an advantage of such a high-resolution and parallel-lithographic capacity of DPN to concurrently fabricate multiple Au electrodes through an etch resist. Hence, we open up an alternative method of fabricating electrodes in a high-throughput fashion with mild and simple processing steps, at a relatively low cost. We have verified that cleanly etched electrodes can be fabricated concurrently with high degree of feature-size controllability and with low level of electrode defects. The optimal diffusivity range was determined to be 0.021-0.050 μm2/s, where the feature dimensions deviated slightly from the design dimensions (approximately 2-4 % deviation in width). The standard deviation of the feature dimensions from different tips in the array was determined to be 1-5 %, confirming a relatively low degree of variability in the feature dimensions from tip-to-tip. Regarding the gap resolution, sub-100 nm lateral gaps have been fabricated, with the minimum lateral gap of 35 nm. To attain the functionality of the electrodes, conductivity measurements were conducted for multiple electrodes in the array, which was determined to be in the range of 2 x 107—3 x 107 S/m. This range was comparable to the conductivity of bulk Au, confirming both a low-resistive property and a high degree of consistency and reliability of the electrodes in the array. Finally, as a demonstration of electrode applicability, SWNT devices were fabricated and the electrical properties of a SWNT device were successfully characterized. Hence, our experimental results validate DPN as an effective tool in generating high quality electrodes in a parallel manner with mild and simple processing steps, at a relatively low cost.
9:00 PM - Q11.7
Controlling the In-plain Ordering of Block Copolymer Microdomains by Dielectrophoresis Force.
Jaehui Ahn 1 , Hyunjung Jung 1 , Jihyun Kim 1
1 Chemical & Biological Eng., Korea Univ., Seoul Korea (the Republic of)
Show AbstractOver several decades, block copolymer (BCP) thin films have been intensively examined to control the ordering and orientation of microdomains. Many strategies have been suggested, such as surface modification via random copolymers, solvent annealing, chemically patterned surface, graphoepitaxy, applying shear force or electric fields, etc. Among several BCP systems, poly(styrene-b-methyl methacrylate) (PS-b-PMMA) BCPs have been extensively used, as the PMMA blocks can be readily degraded by UV irradiation to generate the nanoporous templates for various applications. It is now well established that the orientation of PS-b-PMMA microdomains can be controlled via surface modification with PS-r-PMMA random copolymers. Also, it has been demonstrated that the lateral ordering of microdomains can be controlled using the chemically patterned substrates or graphoepitaxy techniques. Among several aforementioned strategies, it was shown that the electric fields can be also effectively used to control the orientation of BCP microdomains. For example, Russell and coworkers employed the electric fields to prepare the vertically oriented cylindrical microdomains in PS-b-PMMA films with the thickness up to a few microns. Recently, Böker and coworker used the electric fields to align the BCP microdomains in in-plain direction, while the BCP films were exposed to the solvent vapor. They observed that the BCP microdomains were aligned parallel to the electric filed applied. In both examples, the direct electric fields were used and it should be noted that it took a long time (> 6 hours) to align the BCP microdomains. In this work, we employ the dielectrophoresis (DEP) force to control the in-plain orientation of PS-b-PMMA BCP microdomains. The DEP force is the terminology used to describe the force felt by a dielectric particle in an alternating electric field, and we expanded this idea to the block copolymer system. By applying the DEP force, it was observed that the BCP microdomains were aligned parallel to the DEP fields, and also the response time for the BCP alignment is greatly affected by the frequency and voltage of DEP field, in addition to the annealing temperature. In optimizing the processing condition, we found that the larger the frequency and the voltage of DEP field, the faster the BCP microdomains aligned. Therefore, it was much more efficient than applying the direct electric fields. For example, we observed that the BCP microdomains were aligned within a few minutes when applying the DEP force with frequency of 1 MHz and 2.5 X 10^6 V/m. The detailed structure of BCP microdomains was characterized by atomic force microscope (AFM) and scanning electron microscope (SEM). The order parameter of BCP alignment was also analyzed as a function of the frequency and voltage of DEP field. Also, the FEM simulation data was used to analyze the DEP force applied to the BCP thin films.
9:00 PM - Q11.9
A Layer-by-layer Assembly Route to [Mn1/3Co1/3Ni1/3]O2 Hollow Spheres With Promising Electrode Performance.
Myong A Woo 1 2 , Kyung Min Lee 1 2 , In Young Kim 1 2 , Tae Woo Kim 1 , Min-Sun Song 1 2 , Seoung-Ju Hwang 1 2
1 Center for Intelligent Nano-Bio Materials (CINBM), Ewha Womans University, Seoul Korea (the Republic of), 2 Department of Chemistry and Nano Sciences, Ewha Womans University , Seoul Korea (the Republic of)
Show AbstractHollow spheres of layered [Mn1/3Co1/3Ni1/3]O2 were synthesized by layer-by-layer (LBL) assembly of exfoliated metal oxide nanosheets and polycations onto the surface of polymer beads. The precursor colloidal suspension of exfoliated metal oxide nanosheets was prepared by the intercalation of quaternary ammonium cations into protonated Li[Mn1/3Co1/3Ni1/3]O2. Since the obtained [Mn1/3Co1/3Ni1/3]O2 nanosheets have sufficient negative surface charge (-60 mV), these nanomaterials can be applied as a precursor for the synthesis of hollow spheres via LBL process based on electrostatic interaction. In this way, we were able to fabricate multilayered LBL structure composed of negatively charged [Mn1/3Co1/3Ni1/3]O2 nanosheets and polycations, and the corresponding hollow spheres via post-calcination process. The crystal structure, morphology, and chemical bonding nature of the obtained [Mn1/3Co1/3Ni1/3]O2-based nanomaterials were characterized with the combination of diffraction, microscopic, and spectroscopic tools. The functionality of these materials was tested as electrode materials for lithium secondary batteries. This synthetic strategy expanded to other couples of negatively charged graphene nanosheets/polycations and positively charged layered double hydroxide nanosheets/polyanions.
Symposium Organizers
" " Brookhaven National Laboratory
Hongjin Fan Nanyang Technological University
Mato Knez Max-Planck-Institute of Microstructure Physics
Woo Lee Korea Research Institute of Standards and Science (KRISS)
Stanislaus S. Wong State University of New York-Stony Brook
Q12: Inorganic Nanotubes
Session Chairs
Friday AM, April 09, 2010
Room 2018 (Moscone West)
9:30 AM - **Q12.1
Inorganic Nanotubes (INT) and Fullerene-like Structures (IF): Progress Report.
Reshef Tenne 1
1 , Wrizmann Institute, Rehovot Israel
Show AbstractIn this presentation a progress report, focused mainly on the results obtained in our lab will be presented. While the synthesis and study of IF materials and INT from layered metal dichalcogenides, like WS2 and MoS2 remain a major challenge, some progress with the synthesis of IF and INT structures from various other compounds have been realized. Intercalation and doping of these nanostructures, which lends itself to interesting electronic properties, has been realized, too. Recently new core-shell nanotubular structures, like PbI2@WS2 nanotubes have been reported. Major progress has been achieved in elucidating the structure of INT and IF using advanced microscopy techniques, like aberration corrected TEM with 0.08 nm resolution and electron tomography. Also recently, scaling up efforts in "NanoMaterials" resulted in multikilogram production of pure multiwall WS2 nanotubes phases.IF-MS2 (M=W,Mo, etc) were shown to be superior solid lubricant in the form of an additive to various lubricating fluids/greases and for various self-lubricating coating. New potential applications have been realized by forming coatings which incorporate the IF nanoparticles. Some new potential applications for these and related materials will be discussed in the fields high toughness nanocomposites; catalysis; rechargeable batteries. Selective optical coatings which reflect less than 2% of the light over 300-1100 nm will be presented. Such coatings may find numerous applications, among others, in capturing the solar light for thermal energy generation. To capitalize on these opportunities, a production facility for up to 300 kg/day of IF-WS2 in various qualities is being erected and will be in operation in a few months. Large scale sales have started recently
10:00 AM - Q12.2
Nano-strain Engineering of Kirkendall Voids and Fabrication of Novel Nanostructures.
Firat Guder 1 , Yang Yang 2 , Silvana Goetze 2 , Dietrich Hesse 2 , Margit Zacharias 1
1 , IMTEK, Freiburg Germany, 2 , Max Planck Institute of Microstructure Physics, Halle Germany
Show AbstractThere has been a growing interest in the fabrication of hollow nanostructures due to their potential applications. The Kirkendall effect, which is associated with the formation of voids caused by different atomic diffusivities, has already been demonstrated to be an effective synthesis route [1,2]. However, controlled nucleation of Kirkendall voids during a solid-state reaction has not been reported until now. In this article, a method is presented for controlling the position of Kirkendall void nucleation using mechanical strain forces. Novel bean-pod nanostructures (isolated ZnO crystals encapsulated with ZnAl2O4 shell) were fabricated for the first time. A systematic study was performed to investigate the effects of strain on void formation using a 9 layer stack of Al2O3-amorphous/ZnO-polycrystalline thin films (4 periods starting and ending with Al2O3) grown by atomic layer deposition (ALD) on strained (V-grooves) and strain free (flat) Si (100) substrates. Upon high temperature annealing, the strain free Al2O3/ZnO stack transformed into ZnAl2O4 spinel with isolated smaller Kirkendall voids embedded in the spinel layer. The strained samples showed a significantly different void profile at the bottom of the V-grooves which consisted of very large Kirkendall voids. A strain model was established using the known geometry of wet chemically etched Si (100) V-grooves. The model showed a large compressive strain force that caused increased vacancy accumulation right at the bottom of the V-groove as a result of thermal expansion of the films. Demodulated ZnO nanowires (also known as rippled nanowires) were ALD-coated with 10 nm of Al2O3 and annealed. After the ZnO – Al2O3 solid state reaction, the resulting product consisted of large voids in the smaller diameter and ZnO crystals in the larger diameter areas of the nanowires, encapsulated in a ZnAl2O4 shell, resembling a bean pod. Strain effects on Kirkendall void formation present a new way to engineer novel nanostructures where the position of voids can be precisely controlled.[1] H.J. Fan et al., Nat. Mater., 2006, 5, 627.[2] Y. Yin et al., Science, 2004, 304, 711
10:15 AM - Q12.3
A Single-source Precursor for Controlled Growth of Silica Nanotubes and Their Application for Phosphopeptide Enrichment.
Yongxing Hu 1 , Yadong Yin 1
1 Chemistry, University of California, Riverside, Riverside, California, United States
Show AbstractPolydimethylsiloxane (PDMS) rubber can be thermally decomposed in air to produce silica vapor species which can deposit on porous anodic aluminum oxide (AAO) templates to produce silica nanotubes with a smooth surface and highly controllable thickness. This template method offers an advantageous way to prepare multi-functional composite silica nanotubes that can be applied in many areas such as bio-separation, catalysis, heavy metal adsorption, etc. Here, as a demonstration we also report the functionalization of the silica nanotubes with a monolayer of metal oxide (TiO2 or ZrO2) nanocrystals for phosphopeptide enrichment. The highly-charged nanotube surface and the high degree exposure of functional species are able to prevent non-specific bonding efficiently and promote the enrichment of phosphopeptide without optimization even in a low concentration.
10:30 AM - Q12.4
(Ga1-xZnx)(N1-xOx) Nanotubes for Overall Photoelectrochemical Water Splitting.
Melissa Fardy 1 , Catherine Nguyen 1 , Michelle Natera-Comte 1 , Peidong Yang 1
1 Department of Chemistry, University of California, Berkeley, Berkeley, California, United States
Show AbstractRecently, (Ga1-xZnx)(N1-xOx) has gained widespread attention as a high efficiency material for visible-light-driven overall photoelectrochemical water splitting. Here we report the synthesis of (Ga1-xZnx)(N1-xOx) nanotubes produced by epitaxial MOCVD coating of GaN onto ZnO nanowires. After removing the ZnO nanowire templates, (Ga1-xZnx)(N1-xOx) nanotubes remain. The nanotubes are single-crystalline, solid solutions of GaN and ZnO as confirmed by X-ray and electron diffraction. The ZnO composition (x) of the nanotubes can be controllably tuned from 0 to 30% depending on the preparation conditions. Starting with vertical ZnO nanowire arrays, vertical arrays of (Ga1-xZnx)(N1-xOx) nanotubes can be grown directly from conductive substrates, enabling photoelectrochemical characterization. Additionally, ZnO nanowires can be drop-cast onto larger area substrates to give higher yields of (Ga1-xZnx)(N1-xOx) nanotubes for gas analysis experiments. After decoration with Rh2-yCryO3 nanoparticle cocatalysts, which catalyze the H+ reduction, the (Ga1-xZnx)(N1-xOx) nanotubes spontaneously split water under illumination, producing H2 and O2 in a 2:1 ratio.
10:45 AM - Q12.5
Direct Solution Growth of Inorganic Nanotubes.
Stephen Morin 1 , Song Jin 1
1 Department of Chemistry, University of Wisconsin - Madison, Madison, Wisconsin, United States
Show AbstractIn addition to inorganic nanotubes that form via template direction, there are also inorganic nanotubes that can form directly without the aid of templates or extra processing steps such as chemical etching. The formation of such nanotubes has been observed to occur in both solution and vapor phase syntheses but their growth has not been coherently explained with several conflicting mechanisms reported. We have explored this open question through the study of inorganic metal oxide nanowires and nanotubes synthesized from aqueous solutions, which can adopt the nanotube morphology. Specifically, we precisely control solution conditions and chemical speciation and observe the impact on final nanotube morphology enabling identification of the key parameters that lead to the direct growth of inorganic nanotubes. Understanding these concepts will enhance our ability to fabricate nanotubes in new ways that are complementary to well-established templating techniques. Such directly formed inorganic nanotubes can themselves serve as templates for the formation of hierarchical layered nanotube structures and other heterostructured 1D nanostructures with tailorable morphologies and physical properties.
11:00 AM - Q12: Nanotube
BREAK
Q13: Fabrication through Block Copolymer Templates
Session Chairs
Friday PM, April 09, 2010
Room 2018 (Moscone West)
11:30 AM - **Q13.1
Nanostructured and Nanoporous Materials through Block Copolymer Templating.
Sarah Tolbert 1
1 Chemistry and Biochemistry, UCLA, Los Angeles, California, United States
Show AbstractBlock-copolymer templating of inorganic frameworks provides a powerful route to the solution phase production of periodic nanostructured materials from either sol-gel or nanoparticle building blocks. The periodicity provides mechanical robustness that allows porous frameworks to withstand a broad range of chemical transformations with minimal changes in porosity. In this talk, we examine a range of systems that exploit these templating strategies to produce periodic nanostructured materials. We first focus on the use of nanoporous inorganic frameworks to produce novel composite materials by filling the pores space with optical, electronic, or magnetic materials. We also examine chemical transformations of the frameworks to produce new semiconducting nanostructures. Finally, we examine application of these templated nanostructured materials to charge storage with a goal of developing design rules for optimizing charge storage capacity and kinetic in nanoporous electrochemical supercapacitors. In all cases, our goal is to create complex nanoscale architectures which can be used to tune the physical properties of nanostructured materials.
12:00 PM - Q13.2
Novel Nanorod Architectures by Crystallization of Semi-crystalline Blocks in Block Copolymers Confined to Nanopores.
Man Yan Eric Yau 1 , Nitin Shingne 1 3 , Thomas Thurn-Albrecht 3 , Martin Steinhart 1 2
1 , Max Planck Institute of Microstructure Physics, Halle(Saale) Germany, 3 Institute for Physics, Martin-Luther-University Halle-Wittenberg , Halle(Saale) Germany, 2 Institute for Chemistry, University Osnabrück, Osnabrück Germany
Show AbstractMesoporous polymeric nanowires were prepared by templated self-assembly of block copolymers (BCP) under cylindrical confinement in self-ordered anodic aluminum oxide (AAO) templates and investigated by electron microscopy and thermal analysis. Melts of the microphase-separated diblock copolymer polystyrene-block-poly(L-lactic acid) (PS-b-PLLA) were directly infiltrated into AAO with pore diameters ranging from 180 to 280 nm, thus exceeding the period of the BCP 4.5 to 7 times. In the two-dimensional confinement of the nanopores of the AAO, which acts as primary hard template, nanoscopic domain structures not observed in bulk systems (e.g. helices and toroids) are formed. However, since PLLA is a semi-crystalline polymer, the PLLA blocks will crystallize during cooling the BCP from its molten state to room temperature. The competition between crystallization and microphase-separation results in perturbed microphase-separated morphologies if the samples are cooled at constant rates. Completely different results were obtained in the case of the melt-infiltrated samples that were at first quenched to room temperature and then crystallized isothermally at 85oC, well below glass transition of PS (Tg-PS ~ 100oC). Crystallization of the PLLA led apparently to slow reconstruction of the glassy PS matrix. In the case of symmetric PS-b-PLLA, well-ordered lamellar structures aligned with the long axes of the nanorods dominated, while occasionally also helical structures occurred, suggesting that these nanoscopic morphologies are compatible with both liquid/liquid microphase separation and crystallization of the PLLA. In case of slightly asymmetric PS-b-PLLA with a PLLA volume fraction of 45%, apparently no such compatibility exists. As a result, crystallization dominates over liquid/liquid microphase separation, and a novel self-ordered structure characterized by fishbone-like arrangement of PLLA lamellae formed. Since PLLA can easily be removed from the PS-b-PLLA nanorods by hydrolysis, mesoporous PS nanorods with mesopore walls covered by hydroxyl or carboxyl groups and with novel pore morphologies are obtained that can be integrated into membrane configurations or into arrays of freestanding nanorods. Such materials may be used as bioinspired adhesive structures in moist environments, as surfaces with specific wetting properties as well as for separation, storage and catalysis.
12:15 PM - Q13.3
Responsive Hybrid Nanotubes Composed of Block Copolymer and Gold Nanoparticles.
Sehoon Chang 1 2 , Srikanth Singamaneni 2 , Eugenia Kharlampieva 2 , Seth Young 2 , Vladimir Tsukruk 2 1
1 School of Polymer, Textile, and Fiber Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractWe demonstrate the facile fabrication of composite nanotube structures composed of responsive polymer and metal nanoparticles. The polymer-nanoparticles nanotubes are comprised of responsive block copolymer, polystyrene-block-poly (2-vinylpyridine) (PS-b-P2VP), and gold nanoparticles. PS-b-P2VP nanotubes were fabricated using porous alumina template followed by in situ reduction of the gold nanoparticles in P2VP domains. Owing to the pH sensitive nature of P2VP (anionic polymer with a pKa of 3.8), the nanotubes exhibit a dramatic change in topology in response to the changes in the external pH. Furthermore, the gold nanoparticles in the responsive block exhibit a reversible aggregation, causing a reversible plasmon coupling resonance changes of optical properties visualized by UV-vis absorbance. We suggest that PS-b-P2VP-gold nanoparticles nanotubes with their pH responsive properties, high surface-to-volume, and through open pore can find intriguing applications in sensing and microfluidic systems.
12:30 PM - Q13.4
Block Copolymer Templated Galvanic Displacement for Epitaxial Si Nanowire Device Integration.
Gregory Doerk 1 , Christine Politi 2 , Charles Dhong 1 , Carlo Carraro 1 , Roya Maboudian 1
1 Chemical Engineering, University of California at Berkeley, Berkeley, California, United States, 2 Chemical Engineering, University of Colorado at Boulder, Boulder, Colorado, United States
Show AbstractThe large-scale integration of vapor-liquid-solid grown silicon nanowires into device architectures requires highly controlled placement of individual nanowires after growth or the control of the precise location and orientation in which growth occurs. The latter strategy may be achieved by guiding the deposition of the nanowire growth catalyst to particular locations and supports the possibility of epitaxial growth with the concomitant advantages of excellent electrical [1] and mechanical [2] contacts to the nanowire. However, existing catalyst placement methods typically require expensive nanolithography and may be limited in the geometry in which the catalyst nanoparticles are placed. In light of this, we demonstrate a versatile and robust strategy combining low-cost microfabrication with the deposition of Au catalyst nanoclusters by block copolymer templated galvanic displacement. Polystyrene-block-poly(2-vinyl pyridine) (PS-P2VP) micelles in toluene are transferred to silicon substrates by spin- or dip-coating, and the Au metal deposition via galvanic displacement is confined to the P2VP block that forms the interior of the micelle and gives access of the aqueous plating solution to the underlying Si substrate. The addition of polystyrene homopolymer allows broad tuning of the areal density of catalyst nanoclusters. The aqueous chemical nature of galvanic displacement enables control of nanocluster size and selective deposition in prepatterned Si “windows” with both horizontal and vertical geometries. Epitaxial growth of Si nanowires from these particles deposited on patterned silicon substrates exhibits the great potential of this strategy for epitaxial nanowire device integration, which need not be limited to Au catalyst particles or Si nanowires. [1]Chaudhry, A., et al. Nano Lett. 7, 1536 (2007).[2]San Paulo, A., et al. Appl. Phys. Lett. 87, 053111 (2005).
12:45 PM - Q13.5
Block Copolymer Templating for Formation of Quantum Dot and Lattice Mismatched Semiconductor Structures.
Smita Jha 1 , Chi-Chun Liu 1 , Joo Hyung Park 1 , Monika Wiedmann 1 , T. Kuan 2 , Susan Babcock 1 , Luke Mawst 1 , Paul Nealey 1 , Thomas Kuech 1
1 , University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 , University at Albany, Albany, New York, United States
Show AbstractBlock copolymer (BCP) based lithography is a full wafer, spin-on technique used to create a regular nanopattern. In this study we have used cylinder forming BCP in the development of templated epitaxial growth. This technique was used to generate pseudomorphic quantum dot (QD) structures as well as structures beyond the pseudomorphic limit to gauge the impact of nanopatterning on the eventual strain relaxation of such structures. Self-assembly has been used in the past for the formation of dense arrays of semiconductor nanostructures like QDs. However, these arrays typically develop a multi modal size distribution as growth proceeds resulting in a loss of control over dot size and spatial distributions. Additionally, the epitaxial growth of lattice mismatched thin films results in a high density of defects once the critical thickness has been reached. The growth on intentionally patterned substrates however, can lead to strain relief of small islands in lattice mismatched systems as long as the patterns grown upon are on a lateral length scale on the order of the surface diffusion length of the atoms. A highly rapid, cost effective full wafer approach to nanopatterning based on BCP self assembly was adopted. The BCP-generated growth templates with 20 nm holes and 40 nm centers were used for the formation of semiconductor QDs and heterostructures using MOCVD. The 20 nm SiO2/substrate was initially pretreated with random PS-r-PMMA copolymer brush material following which cylinder forming PS-b-PMMA was spin coated onto the wafer. The PMMA block was removed and the nanopattern was transferred to the SiO2 mask to generate the final growth template. Growth selectively takes place within the mask openings resulting in a dense array of uniform nanostructures. These templates were also used for the uniform growth of III-V QD without the wetting layer for various device applications. The wetting layer, a consequence of the Stranski-Krastanov growth mode, leads to carrier leakage from the QD and lower optical efficiency. Further growth of material proceeds laterally over the SiO2 layer and eventually exceeds the pseudomorphic limit. Film coalescence takes place at nanometer length scales resulting in complete strain relaxation in the small islands. The efficacy of these nanopatterns for defect reduction in lattice-mismatched systems was demonstrated by growing GaSb on such patterned GaAs substrates. The x-ray spectra taken from a 200-nm thick GaSb film grown on a patterned GaAs substrate shows a sharp reduction in the FWHM indicating significant improvements in material properties at a very early stage of film growth.. These growth templates can reduce the thickness of metamorphic buffer layers required in lattice mismatch applications. Thus, this full wafer technique can be used as a general approach for the formation of a wide range of semiconductor nanostructures like QDs as well as large area integration of multiple heterostructures by direct epitaxy.
Q14: Templated Top-down Nanofabrications
Session Chairs
Friday PM, April 09, 2010
Room 2018 (Moscone West)
2:30 PM - Q14.1
Shaping Functional Soft Materials into Arrays of Nanostructures with High Internal Order by Nanoimprinting.
Zhijun Hu 1 2 , Alain Jonas 2
1 Center for Soft Condensed Matter Physics and Interdisciplinary Research, Soochow University, Suzhou China, 2 Institute of Condensed Matter and Nanosciences, Université catholique de Louvain, Louvain-la-Neuve Belgium
Show Abstract Nanostructures of functional materials are among the most promising and versatile nanotechnology building blocks for materials and devices. The nanostructures have so far been fabricated by lithography or templating methods. Nanolithography requires elaborate and expensive processing steps and often involves etching techniques that decrease the functional performance of functional materials. As for the template growth method, how to pattern and integrate the fabricated nanostructures is still a challenge. Here, we show that nano-embossing or nano-imprint technology, which was originally developed as a tool for high resolution lithography on amorphous polymers, can be used to directly shape functional soft materials into nanowires, nanopillars or other useful nanoobjects. The patterned arrays of nanostructures can be directly used as the key building blocks of nanodevices. In addition, when applied to soft materials capable to self-organize, residue-free embossing is also capable to orient graphoepitaxially the basic structural elements of the material. This is demonstrated for materials belonging to crystalline, liquid-crystalline and amorphous polymers, giving rise to light-emitting, conducting, semiconducting and ferroelectric nanostructures [1-3]. The induced ordering results in polarized light emission for electroluminescent polymers, increased mobility of charge carriers in semiconducting polymer, increased conductance of conjugated conducting polymers, or easier switching of permanent electrical dipole moments for ferroelectric polymer [4]. References:1.Z. Hu, A. M. Jonas, et al. Nano Lett. 5, 1738-1743 (2005).2.Z. Hu, A. M. Jonas, et al. Nano Lett. 7, 3639-3644 (2007).3.Z. Hu, A. M. Jonas, et al. Nat. Mater.8, 62-67 (2009).4.Z. Hu, A. M. Jonas, Soft Matter (2009).
2:45 PM - Q14.2
A Novel Approach for Realizing Highly-ordered Silicon Nanopillar Arrays with a High Aspect Ratio and Controllable Sidewall Profiles.
Yung-Jr Hung 1 , San-Liang Lee 1 , Brian Thibeault 2 , Larry Coldren 2
1 Electronic Engineering, National Taiwan University of Science and Technology, Taipei Taiwan, 2 Electrical and Computer Engineering, University of California at Santa Barbara, Goleta, California, United States
Show AbstractSilicon nanopillar arrays have been extensively used in many emerging technologies including bio-medical and chemical sensing due to their potential to provide large surface-to-volume ratio, optical wave-guiding via photonic bandgap properties, and antireflection coating on silicon via a lower effective index. In this work, a simple and efficient approach for fabricating silicon nanopillar arrays with a high aspect ratio and controllable sidewall profiles has been developed. Submicron two-dimensional resist templates with a hexagonal lattice of elliptical geometry and an aspect ratio of 2.5 were realized by using holography lithography with a double exposure and a 60-degree rotation of samples. An analysis model was developed and an antireflection layer was used for eliminating the back-reflection from the substrate. Resultant resist patterns were used as the hard mask for the following dry etching into silicon substrate.Instead of using conventional cyclic Bosch process which created periodic ripples on the sidewalls of the best pillars to date (K. J. Morton et. al., Nanotechnology, 2008), a single-step deep reactive ion etching (DRIE) with a controlled mixture of Ar/SF6/C4F8 gas was used to attain smooth and controllable sidewalls (no scalloping of the sidewalls) on the resultant patterns while simultaneously keeping the advantages of high mask selectivity (~85:1) and high etching rate (222 nm/min). A tall 1.11 μm silicon nanopillar array with an aspect ratio of > 10 and vertical sidewalls (the slope of the sidewall profile is +7.2 nm/μm) was realized with high regularity and uniformity. Optical characterization of this nanopillar array was carried out by a spectrophotometer and an angle-variable ellipsometer. A rigorous coupled-wave analysis (RCWA) simulation was performed to verify the reflection spectra from the sample, which show the photonic bandgap within the structure. Good agreement between theoretical and experimental results was observed and the TM photonic bandgap located at 650 nm and 1200 nm of wavelengths were found.
3:00 PM - Q14.3
The Role of Force in Polymer Pen Lithography (PPL).
Xing Liao 1 2 , Adam Braunschweig 2 , Chad Mirkin 1 2
1 Materials Science and Enginnering, Northwestern University, Evanston, Illinois, United States, 2 , International Institute for Nanotechnology, Northwestern University, Evanston, Illinois, United States
Show AbstractPolymer pen lithography (PPL) is a recently developed scanning probe-based molecular printing method which transfers inks such us small molecules, nanostructures, DNA and proteins directly to a surface. By curing polydimethylsiloxane (PDMS) in Si molds of inverted pyramids, a polymer pen array consisting of as many as 107 pyramidal pens can be fabricated. PPL has been used to form arbitrary patterns with feature diameters ranging from 80 nm to several tens of micrometers in a single writing. Additionally PPL is characterized by high throughput, high registration, high resolution and low cost compared to conventional nanofabrication techniques such as electron beam lithography (EBL). Besides the time-dependence of feature size, similar to that observed in dip pen nanolithography (DPN), it was observed that the feature diameter in PPL is also related to the force generated between polymer pen array and the substrate. As increasing force is applied between the tips and the substrate, the polymer pens deform more, thereby generating larger features. Patterns of 16-mercaptohexadecanoic acid (MHA) were made on 25nm Au substrates by PPL at various forces that were simultaneously measured by a scale placed beneath the substrate. After subsequent etching, the feature edge lengths of Au patterns were characterized by SEM. The relation between the force and the feature diameter was investigated, and we were able to derive a quantitative relationship between feature edge length, force, modulus, and the tip geometry that matched well with the experimental results. These results provide important insight into the ink transfer mechanisms of PPL and thereby increase the utility of this novel molecular printing technique.
3:15 PM - Q14.4
Two Synthesis Methods of Au Dot Arrays for Catalyzing Epitaxial Ordered Si Nanowires.
Damiana Lerose 1 2 , Martin Jenke 3 , Mikhael Bechelany 3 , Christoph Niederberger 3 , Laetitia Philippe 3 , Ivo Utke 3 , Johann Michler 3 , Silke Christiansen 2
1 , Max Planck Institute for Microstructure Physics, Halle Germany, 2 , Institute for photonic technology, Jena Germany, 3 , EMPA, Thun Switzerland
Show AbstractRecently developed devices in electronics, photonics and sensorics1-3 contain nanoscale components and require nano-structuring4 to take place reproducibly with site control and homogeneously over large areas. Silicon nanowires (Si NWs) with excellent crystal quality, predictable size and position can be one such component. “Bottom-up” growth methods like the vapor-liquid-solid (VLS)5 mechanism allow for patterning the metal catalyst, initiating in place one-dimensional Si NW growth (here carried out by chemical vapor deposition (CVD) from silane). The Si NWs reproduce this pattern and their diameters are proportional to the catalyst droplet size. We tested two methods to obtain arrays of Au dots with a given diameter to be used for catalyzing Si NW VLS growth. The first one is a direct write method combining Focused Ion Beam (FIB) milling and Focused Electron Beam Induced Deposition (FEBID) with a Me2Au(tfa) 6 precursor. Au dots are deposited by FEBID in holes previously milled in an oxidized Si wafer. Si NWs grow selectively at the Au dot location with the diameter determined by the hole and dot diameters. The correspondence of one Si NW grown from one Au dot is progressing and not yet fully controlled.The second method is by nanosphere lithography7 (NSL) on (111) Si wafers. Polystyrene spheres, densely packed, form a shadow mask for subsequent Au sputtering. A honeycomb Au pattern on the (111) Si wafer is obtained. After removing the spheres chemically, the wafer pieces are annealed (different temperature, time and atmosphere of the annealing process have been studied8); the honeycomb Au pattern turns into a pattern of hexagonal Au dots that show a narrow diameter distribution. Under optimized CVD process conditions, Si NWs grow epitaxially and perpendicular to the substrate surface. The Si NWs are characterized by Transmission Electron Microscopy (TEM) and are found to be essentially free of extended lattice defects. This finding is confirmed by Electron Back-Scattered Diffraction (EBSD) analysis on the wires in a scanning electron microscope where remarkable statistics are possible9. We demonstrate two nanopatterning methods to obtain nanoscale Au dot arrays for the catalysis of well oriented in place VLS Si NW growth. The FIB/FEBID procedure flexibly catalyzes Si NWs in place and individually, e.g. for CMOS chip applications. The NSL allows for the growth of Si NW arrays inexpensively over large areas, e.g. for Si NW-based solar cells or other kinds of large area electronics. References: 1 V. Schmidt et al., Small 2, 85 (2006)2 S.J. Pearton et al., Small 3, 1144 (2007)3 M. Becker et al., Nano Lett. 7, 75 (2007)4 A. Javey et al., Nano Lett. 7, 3 (2007) 5 R.S. Wagner and W.C. Ellis, Appl. Phys. Lett. 4, 89 (1964) 6 I. Utke et al. Microelec. Eng. 53, 261 (2000)7 J.C. Hulteen and R.P. Van Duyne, J. Vac. Sci. Technol. A 13, 3 (1995) 8 M. Bechelany et al., Crystal Growth & Design, accepted9 Ch. Niederberger et al., submitted
3:30 PM - Q14.5
Localized Synthesis and Integration of Nanostructures via Focused Energy Field (FEF) Method.
Inkyu Park 1 , Seung Ko 1 , Zhiyong Li 2
1 Mechanical Engineering, KAIST, Daejon Korea (the Republic of), 2 Information and Quantum Systems Lab, HP Lab, Palo Alto, California, United States
Show AbstractWe have developed a novel eco-friendly hybrid nanomanufacturing process by combining the top-down and bottom-up methods. Firstly, microelectronic devices are fabricated by conventional top-down microfabrication process. Then, functional nanostructures are locally synthesized and integrated at the selected locations in the microelectronic devices by applying localized thermal energy. The direct synthesis of functional nanostructures on the microelectronic devices allows the improvement of mechanical / electrical reliability and robustness of integrated nanostructures, and the repeatability and simplicity of nanofabrication process. Furthermore, this is an eco-friendly and energy-saving process since the consumption of precursor materials and the energy required for making nanostructures is dramatically reduced due to the localized nanofabrication process. In the conventional bottom-up nanofabrication process, gas-phase precursor molecules undergo chemical reaction on the substrate at high temperature environment, so that high temperature furnace and highly explosive and/or toxic gases are required. Recently, an alternative low-temperature, liquid-phase nanofabrication process based on the hydrothermal reaction has been developed. However, this process also requires a large volume of precursor solution because the substrate should be submerged in the solution container throughout the hydrothermal reaction. In our process, the consumption of the precursor materials and energy for the reaction is dramatically reduced by orders of magnitude, compared to the conventional hydrothermal synthetic process.
4:15 PM - Q14.6
Templated Growth of Metal and Semiconductor Nanostructures in Metal-organic Frameworks.
Benjamin Jacobs 1 , Ronald Houk 1 , Stephen House 2 , Ian Robertson 2 , Albert Talin 3 , Mark Allendorf 1
1 , Sandia National Labs, Livermore , California, United States, 2 , University of Illinois, Urbana Champagne, Illinois, United States, 3 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractMetal and semiconductor nanoclusters have become important materials for a host of applications. The fabrication of metal and semiconducting nanoclusters has been widely explored and there exist many growth techniques that allow varying degrees of control over cluster size and shape. Metal organic frameworks (MOFs) offer new opportunities to achieve a high level of control over nanocluster size and composition, and also provide a potential stabilizing environment to prevent agglomeration. In this work, we demonstrate a new and unique approach to controlled synthesis of metal and semiconducting nanoclusters, in which the ordered crystalline structure of nanoporous MOFs acts as both a template and a source of reactants. Using both neat MOFs as well as metal infiltrated frameworks, we show that a wide range of metal and semiconducting nanoclusters can be fabricated in a controllable way using a TEM electron beam to promote the nanocluster growth. Depending on the beam current density and energy, nanoclusters of a specific size can be synthesized. In the process the framework is destroyed, and the remaining organic material serves as a barrier to further nanocluster coalescence. To date we have formed nanoclusters of Cu, Ag, In, mixed-metal clusters and ZnO. Particle sizes as small as 2 nm can be formed with narrow size distributions. Nanocluster formation also depends on the MOF structure. In the Zn-based MOFs, for example, the coordination sphere has an effect on how readily nanoclusters of ZnO form. In addition to nanoclusters, there is also evidence that nanowires can be created by this method. Results of applying the method to both isolated crystals and to MOF thin films will be discussed.
4:30 PM - Q14.7
The Use of Conducting Polymers in Nano- and Micro-fabrication.
Philseok Kim 1 2 3 , Joanna Aizenberg 1 2 3
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 Department of Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 3 Wyss Institute for Biologically Inspired Engineering, Harvard University, Cambridge, Massachusetts, United States
Show AbstractToday’s nanomaterials research has created enormous perspectives in many application areas that call for the development of alternative high-precision, high-throughput, and cost-effective nano- and microfabrication technologies[1]. We report on the fabrication of versatile 3D nanostructures using a newly developed simple and highly reproducible method, in which virtually any topographically-patterned template can be decorated at the nanometer scale with electrochemically grown conducting polymers. We demonstrate a number of such new structures that are created using a variety of templates, such as an array of high-aspect-ratio nanofibers, closed-cell nanostructures, etc. Pre-patterning of metal electrodes on these template structures by either shadow evaporation or sputter-coating followed by the growth of the conductive polymers leads to a completely different set of nanostructures, in which fine tuning of size and shape can be achieved. Continuous and conformal growth of conducting polymers gradually increases the thickness and/or the length of each element in the template structure, which then can be directly replicated to another material using PDMS molding method[2]. This replicated structure with another set of evaporated electrodes may serve as a new template for further nanoscale decoration to create even more complex 3D nanostructures. Precise control of the morphology of the growing conducting polymers by changing the electrolyte, concentration of the monomer, and by programming electrochemical conditions enables the decoration of template nanostructures with globular or fibrous elements resulting in hierarchical structures with feature sizes at two different length scales. We show that these hierarchical nano/microstructures exhibit much improved superhydrophobic properties. A continuous or stepwise time gradient of the deposition of the conducting polymer transforms a uniform template structure into a complex set of nanostructures with gradually changing sizes and/or shapes providing a unique platform for combinatorial study of cellular behavior on patterned surfaces. We also demonstrate that this approach can be used to produce sophisticated structures for optical applications, such as highly ordered arrays of metallic concentric rings and split (“C”) rings. We believe that our new nanoscale decoration method opens a new avenue for the fabrication of versatile 3D nano- and microstructures with rich, non-trivial morphologies and finely-tuned sizes that are either impossible or challenging to make using conventional fabrication techniques.1.(a) P. S. Weiss and P. A. Lewis “Different and More Powerful, Not Just Smaller, Faster, Cheaper” ACS Nano 3 1039-1030, 2009, (b) C. G. Willson and B. J. Roman “The Future of Lithography: SEMATECH Litho Forum 2008” ACS Nano 2 1323-1328 2008.2.B. Pokroy, A. K. Epstein, M. C. M. Persson-Gulda, and J. Aizenberg, Adv. Mater. 21 463-469, 2009.