12:45 PM - P1.11
InGaN Nanowires for Solar Water Splitting.
Christopher Hahn 1 2 , Peidong Yang 1 2
1 Chemistry, University of California Berkeley, Berkeley, California, United States, 2 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractAlloying is a common technique used to tune the bandgap of semiconductors by adjusting chemical compositions. The alloy between GaN and InN has the interesting capability of a broad UV to IR tunability range of the bandgap energy, which is useful for applications such as multijunction photovoltaics and color tunable light-emitting/laser diodes. However the thermodynamic miscibility gap for alloying InN and GaN, as well as mismatch stress induced from heteroepitaxial growth present significant barriers towards the synthesis of this alloy. The III-V nitrides such as GaN have also shown excellent photostability in aqueous solution, which along with increased absorption could potentially make InGaN interesting as a photoelectrode for solar water splitting. Due to difficulties in synthesizing the middle composition ranges of InxGa1-xN (0.20
P2: III-V Nanowire Growth
Session Chairs
Tuesday PM, April 06, 2010
Room 2016 (Moscone West)
2:30 PM - P2.1
Si/III-V Nanowire Heterostructures.
Moira Hocevar 1 2 , Rienk Algra 2 , George Immink 2 , Marcel Verheijen 2 , Leo Kouwenhoven 1 , Erik Bakkers 1
1 Kavli Institute of Nanoscience, TU Delft, Delft Netherlands, 2 Philips Research Labs, Philips, Eindhoven Netherlands
Show AbstractHere we present preliminary results on Si/III-V nanowire heterostructures fabricated in the vapor liquid solid growth mode from gold particles, especially GaP/Si nanowires. This combination of materials has been chosen to understand the growth mechanism of III-V/Si heterostructures, as the lattice mismatch is minimal. We developed a method to control the growth of straight Si sections on GaP by reducing the Ga fraction in the Au droplet. High resolution TEM shows epitaxial growth of Si on top of the GaP section. However, only 50% of the nanowires show straight Si section when using gold colloids. This behavior is partly related to inhomogeneous growth rate caused by random distribution of gold colloids on the substrate. Nevertheless, and thanks to this technique, we managed the insertion of Si quantum dot in GaP nanowires. After the growth of a GaP/Si heterostructure nanowire, an additional GaP top section can grow straight on top of the Si quantum dot if one adjusts carefully the growth parameters. This result paves a way to the integration of Si into direct bandgap III-V nanowires (and vice versa) for nano-opteoelectronic applications. The study is currently extended to other III-V and group IV semiconductors for vertical heterostructures and coreshell nanowires.
2:45 PM - P2.2
Crystal Structure Tuning in InAs Nanowires: Pure WZ, Pure ZB and Structural Superlattices.
Kimberly Dick 1 2 , Philippe Caroff 3 , Jonas Johansson 1 , Maria Messing 1 , Jessica Bolinsson 1 , Knut Deppert 1 , Lars Samuelson 1
1 Solid State Physics, Lund University, Lund Sweden, 2 Polymer & Materials Chemistry, Lund University, Lund Sweden, 3 Institut d'Electronique de Microélectronique et de Nanotechnologie, UMR CNRS 8520, Villeneuve d'Ascq France
Show AbstractSemiconductor nanowires composed of III-V materials such as InAs typically suffer from frequent stacking defects. Although most of these materials exhibit zinc blende (ZB) structure in bulk, nanowires may also be composed of the related wurtzite (WZ) structure. If nanowire growth is not carefully controlled, the resulting structure may consist of a mixture of these two phases, together with twin planes, stacking faults and other polytypes. Various theoretical and experimental works have indicated that uncontrolled structural mixing may be detrimental to electronic and optical properties, and structural variations due to random intermixing may lead to unacceptable variability in material properties. On the other hand, the ability to select between ZB and WZ and to mix these structures in a controlled way may give access to new and exciting physics and applications.In this presentation it will be demonstrated that the crystal structure of InAs nanowires grown using Au seed particles can be tuned between pure WZ and pure ZB by careful control of growth parameters. Structural variation can be induced using growth temperature, pressure, precursor molar fraction and V/III ratio, nanowire density and diameter, and exposure to trace impurity atoms. By precise control of all of these parameters, high-quality WZ and ZB structure can be achieved. It will also be demonstrated that structural superlattices composed purely of InAs can be produced. The influence of growth parameters on crystal structure can be explained in a consistent way by classical nucleation theory. Nanowires are understood to growth layer-by-layer with nucleation at the edge of the interface between the nanowire and Au seed particle. The edge energy of an InAs nucleus will then determine the favourable nucleation position, and through this the nanowire structure. Variations in the surface/edge energies induced by changes in growth parameters or introduction of impurities can then lead to variations in the observed structure. As well, a diameter dependence of crystal structure is expected as the surface area (edge length) increases with decreasing nanowire diameter. It will be shown that theoretical models accurately describe the experimental data.Finally, the effect of structural variation on electrical and thermal properties will be discussed, with examples of device applications considered.
3:00 PM - **P2.3
III-V Semiconductor Core-shell Nanowires Grown by Selective Area MOVPE and Their Device Applications.
Takashi Fukui 1 , Katsuhiro Tomioka 1 , Shinjiroh Hara 1 , Kenji Hiruma 1 , Junichi Motohisa 1
1 , Hokkaido University, Sapporo Japan
Show AbstractSemiconductor nanowires have stimulated extensive interest in recent years because of their unique properties and potential applications as building blocks for nanoscale electronic and photonic devices. We report on the systematically controlled growth of GaAs and InP and related III-V compound semiconductor nanowire arrays by catalyst-free selective area metalorganic vapor phase epitaxy on partially masked (111) oriented substrates. First, we fabricated single GaAs/GaAsP coaxial core-shell nanowire lasers. Highly uniform GaAs/GaAsP coaxial nanowires were prepared. Photoluminescence spectra from a single nanowire indicate that the obtained heterostructures can produce near-infrared lasing under pulsed light excitation at 4.2K. Lasing wavelength is 816nm. The end facets of a single nanowire form natural mirror surface to create an axial cavity, which realizes resonance and give stimulated emission.We fabricated a photovoltaic device using the core–shell pn junction InP nanowire array on the p-type InP (111)A substrate. After nanowire growth, the space between nanowires was filled with a resin, and an ITO film electrode was then sputtered onto the nanowire array. A comb-shaped Ag electrode was also formed on the transparent ITO electrode. The backside electrode of the substrate was formed by alloying Au–Zn. Photovoltaic performance was measured under Air Mass 1.5 Global illumination. The device exhibited 0.43V of open circuit voltage, 13.72 mA/cm2 of short circuit current, and a fill factor of 0.57 for 3.38% overall efficiency.We also demonstrate vertical InAs and GaAs nanowire growth on Si (111) substrates by modifying initial Si (111) surface. To control the growth direction to vertical direction, we used the specific growth sequence. Typical InAs nanowire growth was carried out at 540 °C, and almost of all nanowires were controlled to vertical direction. We also grew GaAs nanowires on Si(111) substrates using similar method. Cross-sectional transmission electron microscope images showed that misfit dislocation with local strains was accommodated in InAs/Si interface, while no misfit dislocation was observed in GaAs/Si interface. Finally, we fabricated vertical surrounding-gate FETs (VSGFETs), using as-grown InAs NWs on a Si substrate. After the growth, Hafnium alminate was deposited as high-k gate dielectric, followed by the deposition of tungsten by plasma sputtering for gate metal. Then, drain and source metals were evaporated on the top of NWs and backside of the substrate, respectively. Fabricated VSGFET contained 50 NWs parallel in the channel. We observed n-type FET behavior in ID-VDS and ID-VG characteristics. The performances are threshold voltage ~ 0V, Gm,max = 0.26 mS, Ion / Ioff = 100, subthreshold slope, S = 1.87 V/decade.
3:30 PM - P2.4
Direct Integration of GaAs/GaAsSb Nanowires Grown by MBE on Si without Extrinsic Metal Particle.
Sebastien Plissard 1 , Philippe Caroff 1 , Kimberly Dick 2 , Xavier Wallart 1
1 Materials and Nanostructures, EPIPHY group, IEMN, UMR CNRS 8520, Villeneuve d'Ascq France, 2 Solid State Physics & The Nanometer Structure Consortium, Lund University, FTF, Lund Sweden
Show AbstractIII-V nanowires have shown great promises in the recent years thanks to the ability to control their dimensions, position, doping and to design heterostructures, both axially and laterally. This make them ideally suited for fundamental transport physics studies and future electronic nanodevices.Few groups have already achieved steps toward the long-awaited material integration of III-V nanowires onto the well established Si platform, mostly using gold seed precursors and MOVPE. Gold is known to created mid-gap defects in Si, disastrous for the electronic properties of Si-based devices. The Fukui group (Hokkaido University) demonstrated very recently gold-free approach toward nanowire integration on Si, based on an oxide-assisted mechanism and electron beam lithography-defined patterns. This should provide a robust way toward the grail of combination of III-V optimal properties with low-cost and perfectly mastered Si substrates or devices. However some challenges remain. These include the wurtzite/zinc blende polytypism of these nanowires, detrimental for both the optical and electronic properties, and the un-clear role of the oxide played in the nucleation mechanisms of the nanowire growth.Here we show that solid source molecular beam epitaxy (SSMBE), known to provide the highest purity for grown semiconductors, is suitable for controlled growth of gold-free GaAs nanowires on Si, without use of any extrinsic metal particle or oxide. Transmission electron microscopy analyses reveal that these gold-seeded nanowires have a crystalline zinc blende or wurtzite structure with low stacking fault density. We achieve reproducible taper-free, tapered or inversely tapered nanowires with independent control of length and diameter. Such GaAs nanowire should find very interesting applications in photovoltaic and THz applications.The growth temperature and V/III ratio are found to be crucial to allow good yield of perfectly straight and epitaxial GaAs nanowires grown on Si substrates. Having optimized the yield of GaAs nanowires, we have designed growth studies to understand the mechanism leading to these well controlled nanowires. Finally first antimonide heterostructure in gold-free nanowires will be presented.
3:45 PM - P2.5
Influence of Silicon Doping on the SA-MOVPE of InAs Nanowires.
Kamil Sladek 1 , Andreas Penz 1 , Karl Weis 1 , Stephan Wirths 1 , Christian Volk 1 , Shima Alagha 1 , Masashi Akabori 2 1 , Steffi Lenk 1 , Martina Luysberg 3 , Hans Lueth 1 , Hilde Hardtdegen 1 , Thomas Schaepers 1 , Detlev Gruetzmacher 1
1 Institute of Bio- and Nanosystems (IBN-1), Forschungszentrum Juelich, Juelich Germany, 2 Japan Advanced Institute of Science and Technology (JAIST), Center for Nano Materials and Technology (CNMT), Nomi Japan, 3 Institute of Solid State Physics (IFF), Forschungszentrum Juelich, Juelich Germany
Show AbstractInAs nanowires are an attractive candidate for the realization of high-speed and low-power electronic devices due to the material's very high room temperature mobility. By reason of the surface Fermi level pinning the wires are expected to be conductive even without doping, since an electron accumulation layer is induced on the surface. Nevertheless the InAs nanowires are not as conductive as they were predicted to be. Reports on stacking faults [1, 2] and possible material contamination due to their fabrication process may be the reason. In this contribution, we have investigated the influence of Si-doping on the growth and material characteristics of InAs nanowires with the aim to improve their conductivity. The nanowires were deposited by selective area metal organic vapor phase epitaxy (SA-MOVPE) on (111)B GaAs masked substrates in holes with a diameter of about 50 nm. Growth was performed in nitrogen atmosphere according to [3] using trimethylindium (TMIn), arsine (AsH3) and disilane (Si2H6) as the sources. In order to achieve different doping levels the Si2H6/TMIn partial pressure ratio was varied from 0 to 3.8×10-2. The samples were characterized structurally by transmission and scanning electron microscopy. We observed that above a certain partial pressure ratio, doping has an influence on the morphology. The nanowires exhibit better uniformity but decreasing height vs. diameter aspect ratio as the partial pressure ratio increases. The electrical characterization was performed in a 2-terminal as well as in a 4-terminal configuration. It was found that the specific conductance increases with increasing partial pressure ratio. Moreover our electrical measurements showed a transition from semiconducting to metallic behavior in the case of highly doped nanowires.[1] K. Tomioka, J. Motohisa, S. Hara, and T. Fukui, Jpn. J. Appl. Phys. 46, L1102 (2007).[2] H. Paetzelt, V. Gottschalch, J. Bauer, G. Benndorf, and G. Wagner, J. Cryst. Growth 310, 5093 (2008).[3] M. Akabori, K. Sladek, H. Hardtdegen, T. Schäpers, and D. Grützmacher, J. Cryst. Growth 311, 3813 (2009).
P3: III-V Nanowires - Growth and Devices
Session Chairs
Tuesday PM, April 06, 2010
Room 2016 (Moscone West)
4:30 PM - **P3.1
Development of Compound Nanowire Structures for Device Applications.
Claes Thelander 1
1 Solid State Physics, Lund University, Lund Sweden
Show AbstractEpitaxial semiconductor nanowires are considered as a potential add-on to silicon CMOS, where successful integration could push the miniaturization of components further and bring improved, and completely new, device functions to a chip. In this respect, nanowires composed of group III-V materials are of particular interest for applications that would benefit from a narrow and/or direct semiconductor bandgap. In this presentation recent results on InAs nanowire field-effect transistors studied in both a lateral and vertical geometry will be shown. For lateral nanowire structures we have examined in detail the impact of precursor selection and growth conditions on the nanowire crystal structure and electrical properties. In particular the nanowire resistivity was studied with respect to carbon doping, where it was found that some of the general trends observed for layer-growth seem to apply to InAs nanowire growth. Results from an evaluation of n-dopants for InAs will be presented, in which the effects of precursor types and flows on properties such as nanowire morphology and resistivity were investigated.In the vertical transistor process, high-k gate dielectrics and wrap-around gates have been employed to realize devices with improved transistor characteristics, such as high transconductance and low off-currents. We have also recently modified the growth- and process-procedures, to enable high frequency characterization of vertical device structures.Finally, results on electrical characterization of antimonide nanowires (InSb/GaSb) will be presented, which are of interest for both spin-transport studies as well as high-mobility transistor devices. Such materials offer a staggered band-alignment with for instance InAs, with possibilities for low-power Esaki tunnel diodes that may be used as interband tunnel transistors.
5:00 PM - P3.2
Crystal Phase Quantum Dots.
Nika Akopian 1 , Gilles Patriarche 2 , Linsheng Liu 2 , Jean-Christophe Harmand 2 , Val Zwiller 1
1 Quantum Transport, TU Delft, Delft Netherlands, 2 Laboratoire de Photonique et de Nanostructures, CNRS, Marcoussis France
Show AbstractMost of III-V compound semiconductors exist in bulk in only one phase of crystal structure: zinc-blende or wurtzite. However, both crystal structures can coexist in a single nanowire. The difference in bandgap between the two crystal structures can lead to charge confinement in heterostructures made of only one material, enabling an entirely new type of opto-electronic devices. Nevertheless, no functional device based on a crystal phase heterostructure has been demonstrated to date.Here we show the fabrication and study of single quantum dots defined solely by the phase of a crystal structure in a chemically homogeneous nanowire. This new type of quantum dots independently express themselves in four different types of experiments, as we demonstrate: transmission electron microscopy, photoluminescence spectroscopy, lifetime, and photon correlation measurements. Photon correlation studies demonstrate that crystal phase quantum dots are sources of single photons on demand.Our results show that electrons and holes are confined in adjacent zinc-blende and wurtzite sections and thus are spatially separated. In addition, conversely to conventional heterostructures made of alternation of compounds, the crystal phase heterostructures have systematically an ideal interface with a step-like transition at the atomic scale. Natural charge separation allows for applications like quantum memories and solar cells. These and other applications will also benefit from clean, defect free system with sharp monolayer interfaces. More generally, our results show that this type of carrier confinement represents a novel degree of freedom in device design at the nanoscale.
5:15 PM - P3.3
Room-temperature Coulomb Staircase in Semiconducting InP Nanowires Modulated with Light Illumination.
Hidenori Yamada 1 , Toshishige Yamada 2 3 , Andrew Lohn 3 4 , Nobuhiko Kobayashi 3 4
1 , UC Berkeley, Berkeley, California, United States, 2 , Santa Clara Univ, Santa Clara, California, United States, 3 , UC Santa Cruz, Santa Cruz, California, United States, 4 , ASL, UC Santa Cruz and NASA Ames Res Ctr, Moffett Field, California, United States
Show AbstractDetailed electron transport analysis is performed for an ensemble of indium phosphide nanowires (InP NWs) bridging two hydrogenated n+-silicon electrodes [1]. The nanowires are cone-shaped, with a base diameter of ~ 0.5 μm and a length of several μm. The current-voltage (I-V) characteristics exhibit a Coulomb staircase [2] in dark with a period of ~ 1 V at room temperature. The staircase is found to disappear under light illumination. This observation can be explained by assuming the presence of a tiny Coulomb island, and its existence is possible due to the large surface depletion region created within contributing nanowires. Electrons tunnel through the Coulomb island, resulting in the Coulomb staircase I-V. Applying light illumination raises the electron quasi-Fermi level and the tunneling barriers are buried, causing the Coulomb staircase to disappear. We realize the following: (i) If InP NWs are unintentionally doped as for bulk InP at level of n ~ 1015 cm-3 the surface depletion region is expected to be as wide as 0.3-1 μm, completely depleting the region near the tip of a NW. Therefore, there should exist a mechanism (kinetic or thermodynamic) that should increase the electron carrier density. (ii) There should be two tunneling barriers present along the conducting route in fused InP NWs, which can be expressed by two series-connected capacitors with capacitance values of 0.1 aF. The size of the tunneling barriers is estimated to be a 1-2 nm3 cube. Because of (i), it is highly likely that these small capacitances are associated with the region at which a pair of NWs are fused. (iii) Although there are multiple InP NW pairs connecting the two Si:H electrodes, only the pair that has the lowest tunneling resistance sensitively depending on the barrier widths is expected to determine the entire electrical characteristics. (iv) Under illumination with light intensity 0-5 μW in the experiment, the electron quasi-Fermi level rises by 25-85 meV, and as a result the Coulomb staircase. Thus, the effective height of the tunneling barriers should be comparable to the range within which the electron quasi-Fermi level is modulated by the illumination. 1N. P. Kobayashi, Logeeswaran VJ, M. S. Islam, X. Li, J. Straznicky, S.-Y. Wang, R. S. Williams, and Y. Chen, Appl. Phys. Lett. 93, 113116 (2007). 2T. Yamada, Chapter 7, "Nanoelectronics Applications" in Carbon Nanotubes ed. M. Meyyappan, (CRC, Boca, Raton, 2004).
5:30 PM - P3.4
Polarization Dependent Photoluminescence Study of InP Nanowires.
Lei Fang 1 , Xianwei Zhao 1 , Dongkyun Ko 1 , Fengyuan Yang 1 , Ezekiel Johnston-Halperin 1
1 Physics, The Ohio State University, Columbus, Ohio, United States
Show AbstractControl of the polarization anisotropy observed in measurements of single NWs has the potential to enable both fundamental studies of polarization-sensitive electronic states and potential applications in polarization-sensitive photodetectors. This anisotropy is caused by the large dielectric mismatch between the semiconductor nanowire and the environment (air), which suggests that with appropriate dielectric matching it is possible to minimize or eliminate polarization anisotropy. In order to explore this possibility, we grow InP nanowires by pulsed laser deposition (PLD). Transmission electron microscopy (TEM) results show the nanowires have zincblende structure. Photoluminescence peak energies vary between 1.42-1.47eV at a temperature of 5K depending on growth conditions. The polarization dependence of photoluminescence of ensembles of InP nanowires is also studied. The polarization response of these ensembles correlates well with the straightforward extension of previous models developed to describe single wire measurements. Further, initial studies involving coating InP nanowires with tantalum oxide (dielectric constant 5.76 to 8.4, close to that of InP, 9.61), reduces the polarization anisotropy by 20%. These preliminary results will be presented and proposed strategies for more dramatic suppression will be discussed.Funding for this research was provided by a DOE grant (award number DE-SC0001304), and by the Center for Emergent Materials at The Ohio State University, an NSF MRSEC (Award Number DMR-0820414)
5:45 PM - P3.5
Semiconductor-ferromagnet Core-shell Nanowires Grown by Molecular Beam Epitaxy.
Maria Hilse 1 , Yukihiko Takagaki 1 , Jens Herfort 1 , Manfred Ramsteiner 1 , Claudia Herrmann 1 , Steffen Breuer 1 , Lutz Geelhaar 1 , Henning Riechert 1
1 , Paul-Drude-Institut für Festkörperelektronik, Berlin Germany
Show AbstractA crucial advantage of nanowires (NWs) is that both axial and radial heterostructures can be grown within these nanostructures. Thus, entire device structures can be fabricated within a single nanowire. When a ferromagnet is incorporated into these heterostructures, spin-dependent functionalities can be introduced to NW devices. MnAs is one of the attractive materials for spintronics applications as the Curie temperature (about 40 °C) is above room temperature. In this study, semiconductor-ferromagnet core-shell NWs were realized by depositing MnAs layers wrapped around GaAs NWs. The GaAs NWs were grown by molecular beam epitaxy (MBE) using the Au-assisted vapor-liquid-solid mechanism on GaAs(111)B substrates. The average diameter of the nanowires was 26 nm, and their average length 1.7 µm. They had the wurtzite structure and were epitaxially oriented to the substrate. Subsequently, MnAs was deposited under the typical conditions for planar growth on GaAs. While the nanowire length remained almost unchanged, the radius increased by 20% of the nominal thickness of a planar MnAs layer that would have grown in the same time. The reduced growth rate in the radial direction was caused by geometry and showed that Mn was directly deposited on the NW sidewalls. The cross section of the nanowires was hexagonal. Since MnAs has a hexagonal crystal structure, this observation indicated that the c-axis was oriented along the NW axis. By taking into account the lattice mismatch MnAs-GaAs and the NW facet alignment with respect to the substrate orientations, we deduced that the MnAs shell facets were most likely (1-100), i.e. m-plane or possibly (1-101) oriented. The magnetic properties of the GaAs-MnAs core-shell nanowires were studied with a superconducting quantum interference device (SQUID) magnetometer. The nanowires exhibited hard-axis behaviour when the external field was applied normal to the substrate. This measurement confirmed that the c-axis of the MnAs shell was aligned along the nanowire axis, because the hard axis of MnAs is oriented in the c-direction. Magnetic force microscopy of dispersed NWs showed that the magnetic easy axis was perpendicular to the nanowire axis, which is also in accord with the c-direction of MnAs being along the nanowire axis. The saturation magnetization was at 10 K 400-500 emu/cm^3, which is a reasonable value for MnAs. Temperature-dependent magnetization measurements proved that the Curie temperature was not degraded by the NW geometry. Very interestingly, the phase transition was abrupt, i.e. bulk-like for a thick (~43 nm) MnAs shell but smooth, i.e. thin-film-like for a thin (~13 nm) shell. The smooth transition for thin shells and planar films is caused by the GaAs-induced strain. The above difference implied that the thick MnAs shell imposed its lattice constant on the GaAs core, which is only possible due to the special NW geometry and has not been observed for thin films on a rigid planar substrate.
P4: Poster Session: Nanowire
Session Chairs
Theresa Mayer
Michael McAlpine
Heike Riel
Margit Zacharias
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - P4.1
New Approaches in Design of Photovoltaic Cells Exploiting Arrays of Sensitized ZnO Nanowires.
Zhenhua Chen 1 , Hong-en Wang 1 , Chaoping Liu 1 , Yongbing Tang 1 , Ofeksandr Kutsay 1 , Igor Bello 1 , Chun-Sing Lee 1 , Shuit-Tong Lee 1
1 Department of Physics and Materials Science, City University of Hong Kong, Hong Kong China
Show AbstractMany novel approaches in designs of solar cells have been undertaken to enhance the efficiency of their power conversion and reduce the production cost. Among them dye sensitize solar cells (DSCs) comprising TiO2 nano-particles has been investigated. Using ZnO nanowires instead of TiO2 nanoparticles indicates increase in device efficiency due to the higher carrier mobility of ZnO. However, ZnO is unstable in conversional acidic Ru-dye (N719) leading to accumulations of dye molecules at ZnO/dye interface, which increases electron-hole recombination, and consequently reduces the power conversion efficiency. In this work, noble nano-particles (Au) and semiconducting thin films (CdTe) have been explored to sensitize ZnO nanowires in respective manners, instead of dyes. The concept of solar cells based on Au nanoparticles is established on enhancement of optical absorption in the range of visible light due to their surface plasmon resonance. In charge separation process, photoexcited electrons are transferred from Au nanoparticles to ZnO conduction band while electrons from donor (I-) in the electrolyte compensate the holes left on the Au nanoparticles. The fill factors of the dye-free photovoltaic cell reach a value of 0.50, while the maximum photocurrent is 1.8 mA/cm2. To further increase the effective contact area between ZnO and sensitization materials has been achieved by introducing arrays of ZnO/CdTe coaxial cables into the architecture of photovoltaic cells as anode. The CdTe thin film shell also enhances the optical absorption substantially in visible light range due to its narrow bandgap (~1.5eV), which leads to increase of short circuit current density to 4.7 mA/cm2. Combination of these two concepts to a single hybrid solar cell might considerably surpass the performance of conversional DSCs.This work was supported by General Research Fund of RGC under grant number GRF CityU110209.To whom correspondence should be addressed. E-mail:
[email protected] 6:00 PM - P4.12
Nucleation Kinetics of Epitaxial and Non-epitaxial Si Nanowire Growth.
Xueni Zhu 1 , Ken Ogata 1 , Stephan Hofmann 1 , William Milne 1
1 Department of Engineering, University of Cambridge, Cambridge United Kingdom
Show AbstractReproducibility is essential to bottom-up nanowire growth. We recently studied the detailed nucleation mechanism for non-epitaxial Si nanowire CVD by environmental TEM [1]. Here we present related ex-situ experiments comparing Au catalysed SiNW nucleation on Si oxide and Si(111) for diluted silane atmospheres. The analysis is facilitated by e-beam patterning Au into well defined arrays. For temperatures exceeding the bulk Au-Si eutectic, the Si(111) itself acts as an extra supplier of silicon, which changes the catalyst coarsening and hence SiNW diameter distribution. We describe the change in overall nucleation kinetics and show how this affects nanowire yield, morphology.*[1] S Hofmann et al, Nature Materials 7, 372 (2008)* The authors would like to acknowledge the support from EPSRC through the FoNE project.
6:00 PM - P4.13
Field-effect Transistors Fabricated using Silicon Nanowires Prepared by Metal-catalysed Wet-chemical Etching.
Michal Zaremba-Tymieniecki 1 , Chuanbo Li 1 , Kristel Fobelets 1 , Mino Green 1 , Zahid Durrani 1
1 Electrical and Electronic Engineering, Imperial College London, London United Kingdom
Show AbstractSilicon nanowires (SiNWs) are highly promising materials for nanoscale field-effect transistor, molecular sensor, solar-cell and thermoelectric applications1-3. Si nanowires may be prepared by ‘bottom-up’ material synthesis techniques such as vapour-liquid solid growth, oxide-assisted growth, or by ‘top-down’ high-resolution lithographic techniques. Si nanowires may also be prepared by a metal-catalysed chemical etching process, without the need for lithographic or epitaxial techniques.In this work, we discuss the fabrication and electrical characterisation of SiNW field effect transistors (FETs) using single, highly-doped, p-type NWs. The NWs were fabricated using a metal-catalysed chemical etching process that allows for rapid, low-cost fabrication of large numbers of long (~300 μm) NWs, with controlled doping levels.The etching process uses a Si wafer, heavily-doped p-type with resistivity ~0.01 Ωcm. Here, a Si sample is immersed in a HF/AgNO3 solution. The etching process involves the deposition of a network of nanoscale Ag dendrites on the Si surface. This acts as a catalyst for the etching of an array of SiNWs underneath, via an electrochemical ‘redox’ reaction. Finally, all remaining Ag is removed using nitric acid.Scanning electron microscopy of the SiNW array shows vertical NWs with packing density ~109 /cm2. Transmission electron microscopy of individual NWs shows that they consist of a ~30-200 nm diameter crystalline core, covered by amorphous shells ~10-50 nm in thickness. The NW diameter/length ratio is extremely high, up to 1:1500.We fabricated FETs on individual NWs as follows. The NWs are transferred from the etched sample into isopropyl alcohol by ultrasonic agitation, and then dispersed onto a SiO2-on-Si wafer by evaporation of the solution. Individual NWs are selected for device fabrication using optical lithography. We develop successfully a titanium silicide contact formation process for SiNWs, using thermal evaporation and high-temperature (700°C) rapid thermal annealing. An Al capping layer is used to improve the contact. Four-terminal and transmission-line measurements are used to estimate the NW resistivity, ρ ~ 0.03 Ωcm. We measure Ids-Vds and Ids-Vgs characteristics for the SiNW FET using the underlying substrate as a back-gate. The device is operated in depletion-mode. The field-effect hole mobility μh, estimated using a simple long-channel MOSFET approximation and including the effect of parasitic resistances, is μh ~ 2 cm2/Vs. This is ~10 times lower than the ideal hole mobility for a corresponding Si wafer of the same resistivity.1. Y. Cui, Z. Zhong, D. Wang, W. U. Wang, and C. M. Lieber, Nano Letters 3, 149 (2003).2. Jiansheng Jie, Wenjun Zhang, Kuiqing Peng, Guodong Yuan, Chun Sing Lee, and Shuit-Tong Lee, Advanced Functional Materials 18, 3251 (2008).3. Allon I. Hochbaum, Renkun Chen, Raul Diaz Delgado, Wenjie Liang, Erik C. Garnett, Mark Najarian, Arun Majumdar, and Peidong Yang, Nature 451, 163 (2008).
6:00 PM - P4.14
Ensemble Effects on the Optical Properties of Indium Phosphide Nanowires at Various Temperatures.
Andrew Lohn 1 2 , Milo Holt 1 2 , Noel Dawson 1 2 , Nobuhiko Kobayashi 1 2
1 Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, California, United States, 2 Nanostructured Energy Conversion Technologies and Research (NECTAR) of Advanced Studies Laboratories (ASL), NASA AMES Research Center, Moffett Field, California, United States
Show AbstractOptical devices such as photodetectors and solar cells utilizing nanowires as the optically active material are becoming interesting alternatives to traditional thin-film technologies. Many of these devices will need to employ ensembles of nanowires but the majority of reports on optical characterization still focus on nanowires in isolation despite the fact that the effects inherent to ensembles, particularly those prepared on amorphous substrates, are of our interests. We used a quartz substrate for the growth of indium phosphide (InP) nanowires by metal-organic chemical vapor deposition. A hydrogenated microcrystalline silicon (mc-Si:H) layer that acts as a template, was deposited on the quartz and formed the base on which the nanowires were grown. InP nanowires having either zincblende (ZB) or wurtzite (WZ) crystal structures coexist in the samples. The lack of long-range atomic order in the Si:H resulted in nanowires randomly oriented with respect to the surface normal of the quartz substrate and in combination with the large areal density frequently led to physical interaction between neighboring nanowires. Micro-photoluminescence (µ-PL) and micro-Raman were used to study the optical properties of ensembles of InP nanowires at various cryogenic temperatures. The µ-PL spectra showed rich spectroscopic features due to the presence of the two types of crystal structures, random growth orientation, shape, size of the nanowires and physical interactions among the nanowires. The µ-PL spectra were deconvoluted into five peaks attributed to emission from: excitons in bulk, quantum-confined (QC) WZ band-edge, QC ZB band-edge, QC ZB excitons and an impurity state. The temperature dependence of emission wavelength for the five mechanisms was observed to follow the Varshni equation with the exception of the QC WZ band-edge which only weakly varied with temperature. Further study analyzed each peak’s contribution to the total intensity as a function of temperature from which a unique energy transfer mechanism is proposed to explain variation in intensity of the QC ZB band-edge and QC ZB exciton peaks with changing temperature. Raman spectra were collected from the ensemble of InP nanowires in both the ZB and WZ crystal structures co-existing in an ensemble. The Raman spectra are particularly rich because no modes are symmetry forbidden for an ensemble of nanowires randomly oriented. The longitudinal optical (LO) and transverse optical (TO) components were identified for the ZB crystal and the six Raman modes of the WZ crystal were related to the ZB modes by folding the ZB phonon dispersion along [111] to approximate that of WZ along [0001]. Observation of similar vibrational frequencies in ZB and WZ was attributed to their structural similarity. The optical properties of nanowires are much more complicated in ensembles than in isolation. This study progresses our understanding of the effect of ensembles on the optical properties of nanowires.
6:00 PM - P4.15
Effects of SiCl4/H2 Ratio and Growth Pressure on Tapering and Vertical Alignment of Si Nanowires.
Sergiy Krylyuk 1 , Albert Davydov 1 , Igor Levin 1
1 , NIST, Gaithersburg, Maryland, United States
Show AbstractTapered silicon nanowires (SiNWs) are promising candidates for numerous device applications including field emitters, tip-enhanced Raman (TERS) and electromagnetic sensors, nanomanipulation tools, etc. Therefore, reliable technological approaches for fabricating SiNW arrays with controlled tapering have to be developed. Silane-based CVD process is problematic for fabrication of vertically aligned NW arrays and often results in formation of detrimental polycrystalline silicon layer. These drawbacks can be avoided by using SiCl4 precursor instead of SiH4. Earlier studies showed that the degree of tapering for the SiCl4-grown SiNWs is governed by the interplay between the catalyzed vapor-liquid-solid (VLS) and uncatalyzed vapor-solid (VS) growth mechanisms. Therefore, establishing the growth conditions that promote one of these paths for Si deposition is important for obtaining vertically-oriented arrays of SiNWs with controlled tapered morphology.In this contribution, Au nanoparticles-catalyzed SiNWs were grown at 800 °C – 900 °C and a reactor pressure of 300 Torr - 700 Torr using SiCl4/H2/N2 mixtures. Tapering of SiNWs due to uncatalyzed silicon deposition on the wire sidewalls, i.e. radial growth of NWs, was studied as a function of SiCl4/H2 molar ratio, gas flow rate, and growth pressure. We found that the radial growth rate of SiNWs can be enhanced in several ways: by lowering SiCl4/H2 molar ratio, applying higher gas flow rate, or reducing growth pressure. The axial growth rate of SiNWs exhibited a non-monotonic dependence on the SiCl4 molar fraction and decreased at lower growth pressures. Distinct dependences of the axial and radial growth rates on the process conditions were used to produce SiNWs with a tapering degree (i.e. a ratio of the radial/axial growth rates) varying by more than an order of magnitude. The results were explained by an interplay between the thermodynamic and kinetic effects on the vertical and radial growth rates. Growth conditions leading to a large tapering of SiNWs due to high radial growth rates severely deteriorate vertical alignment of the NWs with respect to the Si(111) substrate. The established correlations between the SiCl4/H2 molar ratio and vertical alignment of nanowires were used to develop a two-stage growth procedure for producing tapered SiNWs with a predominantly vertical orientation.
6:00 PM - P4.16
Epitaxial Growth of Horizontally Aligned Zinc Oxide Nanonecklace Arrays on R-plane Sapphire.
Xin Sun 1 , Jian Shi 1 , Hao Li 1
1 Mechanical and Aerospace Engineering, University of Missouri-Columbia, Columbia, Missouri, United States
Show Abstract We report the synthesis of faceted single crystalline ZnO nanonecklace (ZnO NN) arrays horizontally aligned on r-plane sapphire using Au nanoparticles catalyzed chemical vapor deposition (CVD). High resolution TEM data show that ZnO NNs, without any grain boundary observed, grow along ZnO [0001] direction horizontally on r-plane sapphire and also reveal the epitaxial relationships between the ZnO NN and r-plane sapphire with ZnO [0001] || sapphire [10-11] and ZnO (-12-10) || sapphire (01-12). It was found that the Au nanoparticles with diameter of ~5 nm and lower particle density are critical for the formation of horizontally aligned ZnO NN arrays while bigger size or higher density of Au nanoparticles result in other types of ZnO nanostructures, such as vertical ZnO nanoblades and nanowires. Thermodynamic analysis indicates that faster increase of strain energy compared to slower increase of surface free energy and interfacial energy with size increase of one dimensional ZnO nanostructures might be the critical reason of the size effect that control the vertical vs. horizontal growth of ZnO nanostructures. The smaller lattice mismatch of 1.5% in the growth direction (ZnO [0001] || sapphire [10-11]) compared to the larger lattice mismatch of 18.3% in the other horizontal direction (ZnO [10-10] || sapphire [2-1-10]) that is normal to growth direction contribute to the one dimensional growth. The width of ZnO NNs increases with growth time, indicative of continuous post-growth deposition of ZnO on ZnO NNs. The evolution of necklace-like structures of ZnO might be related to liquid catalyst surface tension, lattice mismatch/strain energy, and surface decoration of ZnO facets with Al and Au atoms.
6:00 PM - P4.17
Nanoscale Imaging of ZnO Nanowires and Their Chemical Reactions.
Robert Shaw 1 , K. Meyer 1 , K. Ng 2 , W. Whitten 1
1 Chemical Sciences Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Department of Chemistry, California State University - Fresno, Fresno, California, United States
Show AbstractZinc oxide nanostructures have been reported by numerous research groups, and several exciting electro-optic applications for these materials have been proposed or demonstrated. Characterization of the optical properties of ZnO nanowires is important for these applications to proceed, especially if surface chemical reactions of the wires are potentially detrimental to their optical or semiconducting performance. We have assembled an apertureless near-field scanning optical microscope (NSOM) for imaging nanostructures, with the image contrast derived from the material second harmonic generation (SHG) susceptibility. The spatial resolution achieved is ~200 nm, and the images recorded are in registration with atomic force microscope images that provide a physical picture of the particle for comparison with the optical image. The SHG process is enhanced using plasmon resonances of a metalized, scanning probe tip, and tip design improvements should permit higher imaging resolution. Nanoparticle surface selectivity is achieved due to the short length scale of electric field concentration near the sharp tip. Surface reactions, such as conversion of oxide to carbonate by reaction with ambient CO2, can be monitored because ZnCO3 lacks the proper crystal symmetry for SHG mixing. Details of the microscope design, recorded ZnO nanowire images, and an estimate of the minimum material susceptibility required for imaging will be presented.*This research was supported by the Division of Chemical Sciences, Geosciences, and Biosciences, Office of Basic Energy Sciences, U.S. Department of Energy.
6:00 PM - P4.18
Strain-versus-Dislocation Model for Understanding the Heteroepitaxial Growth of Nanowires.
Jian Shi 1 , Xudong Wang 1
1 Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractGrowing aligned NWs epitaxially on single crystal substrates has shown great promises for characterizing their properties and designing/fabricating novel NW-based nanodevices. In most cases, the supporting substrates are different materials from the NWs due to the substrate availability or application demands. How to grow uniform, well controlled, and perfectly aligned NW arrays from desired materials is the ultimate goal of NW synthesis. In this paper, we adapted the SK mode to the heteroepitaxial growth of NWs and suggested three general growth modes (SK, island SK, and coherent SK) covering all phenomena observed from nanostructures epitaxially grown on single crystal substrates. A Strain-Versus-Dislocation (SVD) model was also established to define these three modes. The SVD model analyzes the competition between strain energy and dislocation energy at the NW-substrate interface. Experimental data acquired from ZnO NWs grown on GaN substrates via the three modes exhibited a good match to our theoretical prediction. NWs could be grown coherently on the substrate only when their sizes are smaller than the critical size. A defective intermediate structure was found to be necessary for growing nanostructures larger than the critical sizes. The SVD model has also been successfully applied to ZnO nanofins grown on GaN and ZnO NWs grown on sapphire, which demonstrated the generality of the SVD model for understanding the heteroepitaxial growth behaviors of various nanostructures. This work provides a necessary quantitative complement to the thermodynamics and diffusion kinetics in interpreting the nanostructure growth phenomena. This work could be applied to guide the interface engineering in nanostructure and nanodevice fabrication, as well as to predict the size, morphology, and even quality of desired nanostructures.
6:00 PM - P4.19
Aligned Silicon Nanowire-polymer Composites for Transparent and Non-planar Electronics.
Kwangsoo Son 1 , Dong Hyun Lee 1 , Won Il Park 1
1 Material Science and Engineering, Hanyang Univ., Seoul Korea (the Republic of)
Show AbstractA new concept of electronics implemented on uneven, three-dimensionally curved surfaces can provide the significant opportunities to develop new types of device applications ranging from bio-inspired optoelectronics to nano-bio interfacing. Meanwhile, most of current electronic and optoelectronic devices are fabricated on planar substrates, mainly due to the difficulty in fabricating exquisite patterns on non-planar, curved surfaces. An alternative method for overcoming the limitation of current rigid and planar electronic systems utilizes the flexible electronic components, such as organic semiconductors, deposited on foldable substrates. However, this approach can only be applied to the elastomeric substrates that can be transformed to planar configuration by an external tension and restored to original shape upon release the load, and thus it cannot be applicable universally to more complex, arbitrary-shaped curvilinear surfaces. Moreover, organic semiconductor films exhibit low carrier mobility due to the scattering caused by defects, such as grain boundaries in the materials, and thus thin-film devices have poor electrical characteristics. Here we introduce a general strategy for the fabrication of electronic devices on three-dimensional objects by use of inorganic single crystalline materials embedded in flexible organic matrixes. In our approach, the inorganic-organic composites were synthesized by sandwiching the uniformly aligned silicon nanowires (SiNWs) between thin polymer layers, and then transferred to other substrates with various surface morphologies. Especially, the use of photo-sensitive films, such as photoresist or e-beam resist, as the polymer matrix led to the direct pattering of submicrometer features on curved surfaces, which, combined with hybridizing SiNW-polymer composite, enables the formation of non-planar SiNW device arrays. We further fabricated fully transparent thin-film transistors (T-TFTs) by implementing the aligned SiNWs and Ga-doped ZnO thin films as transparent channels and transparent conducting electrodes, respectively. The SiNWs aligned on the glass substrates are highly transparent in the visible spectral range, with a transmittance of ~90 % for the NW density of ~2−3 µm-1. Gate dependent transport measurements demonstrated that the boron-doped SiNW devices behaved as p-type TFTs with good characteristics in terms of transconductance and switching behavior.
6:00 PM - P4.2
Improved p-type Electrical Properties of Ag Doped ZnTe Nanowires.
Cao Yulin 1 , Lee Chun-Sing 1
1 Department of Physics and Materials Science, City University of Hong Kong, Hong Kong China
Show AbstractZnTe is one of the most important p-type group II-VI semiconductors with a direct band gap of 2.26 eV at room temperature. Although the synthesis of ZnTe nanowires has been reported, there were few reports on the doping of ZnTe nanowires and their electrical properties. Here, we report a simple solution immersion and thermal annealing process to fabricate Ag doped ZnTe nanowires with greatly enhanced p-type conductivity. Single crystalline p-type ZnTe nanowires were synthesized via a simple chemical vapor deposition method using ZnTe powder as the source material inside a three-zone tube furnace. The p-type doping of the ZnTe nanowires were carried out using a post-growth Ag doping method. The ZnTe nanowires were immersed in a AgNO3 solution. After adequately rinsed with deionized water, Ag doped ZnTe nanowires were obtained by thermal annealing. The as-grown ZnTe nanowires grow along the [111] direction. The crystal structure and orientation of the ZnTe nanowires remain unchanged after Ag doping. Single nanowire field-effect transistors (FETs) of both as-synthesized and Ag doped ZnTe nanowires were fabricated and they were used to study the electrical properties of ZnTe nanowires. The as-synthesized ZnTe nanowires show typical p-type characteristics and the resistivity of the as-grown ZnTe nanowires is in the order of magnitude of 10^5 Ωcm. For Ag doped ZnTe nanowires, their resistivity is in the order of magnitude of 10^2 Ωcm, which is about three orders of magnitude lower than that of the as-grown ZnTe nanowires. The doped p-type ZnTe nanowires may have potential applications in nanodevices, such as FETs, light-emitting diodes, and electro-optic detectors etc.
6:00 PM - P4.20
Phonon Transport in Integrated Silicon Nanowires.
Baoling Huang 1 , Renkun Chen 1 , Karma Sawyer 1 , Kedar Hippalgaonkar 1 , Arun Majumdar 1
1 Mechanical Engineering, UC Berkeley, Berkeley, California, United States
Show AbstractTraditional high-performance thermoelectrics are based on heavy metal compounds and their alloys, which are expensive and potentially environmentally detrimental. Silicon, one of the most abundant and widely used elements on earth, despite its high power factor, has very limited applications in thermoelectrics due to its high thermal conductivity. Recently, roughened silicon nanowires with ultralowthermal conductivity were discovered suggesting the possibility to make silicon a promising high-performance, scalable thermoelectric material. Understanding phonon transport in low-dimensional structures is essential for the nano engineering. Here we fabricate suspended micro devices with integrated silicon nanowires from a single silicon-on-insulator wafer to eliminate contact resistance and precisely control key nanowire variables, such as diameter and length. Phonon transport in silicon nanowires is then systematically and experimentally investigated.
6:00 PM - P4.21
First-principles Study of the Electronic Properties of Silicon/Germanium Nanowires.
Matthew Shelley 1 , Fabiano Corsetti 1 , Arash Mostofi 1
1 Department of Materials (The Thomas Young Centre), Imperial College London, London United Kingdom
Show AbstractSilicon and germanium nanowires hold great promise as building blocks of future commercial nanoscale devices, including thermoelectric and photovoltaic cells. Advances in vapour-liquid-solid (VLS) growth with, e.g., gold nanoparticle catalyst, allow control over many growth parameters, including growth direction, nanowire width, and composition. VLS can be used to grow individual nanowires of less than 5nm diameter, providing the tantalising prospect that advanced large-scale first-principles theory and simulation is able to meet with experiment and materials characterisation.We use large-scale first-principles density-functional theory (DFT) calculations in the plane-wave pseudopotential approximation to study the electronic properties of VLS-grown silicon, germanium, and super-latticed silicon-germanium nanowires. In particular, we consider the effect of superlatticing on electronic conductance along the nanowire growth axis, and we characterise the nature of experimentally observed point defects.Recent progress in the development of linear-scaling algorithms for DFT calculations enables simulation of system sizes of tens of thousands of atoms with our code ONETEP [1]. Furthermore, by transforming the Bloch states obtained from conventional DFT calculations to a maximally-localized Wannier function representation [2], we are able to build model Hamiltonians with first-principles accuracy for systems that are well beyond the sizes currently achievable with standard DFT calculations.[1] NDM Hine, PD Haynes, AA Mostofi, C-K Skylaris & MC Payne, Comput. Phys. Commun. 180, 1041 (2009); C-K Skylaris, PD Haynes, AA Mostofi & MC Payne, J. Chem. Phys. 122, 084119 (2005).[2] AA Mostofi, JR Yates, Y-S Lee, IS Souza, D Vanderbilt & N Marzari, Comput. Phys. Commun. 178, 685 (2008); N Marzari & D Vanderbilt, Phys. Rev. B 56, 12847 (1997).
6:00 PM - P4.22
Controlled Si Nanowire Oxidation and Silicidation.
Ken Ogata 1 , Toru Iijima 2 , Bongyong Jang 2 , Yasuhiko Hayashi 2 , Alan Colli 4 , Tomoharu Tokunaga 3 , Xueni Zhu 1 , Shu-Pei Oei 1 , Stephan Hofmann 1
1 Engineering, University of Cambridge, Cambridge United Kingdom, 2 Frontier Materials, Nagoya Institute of Technology, Nagoya Japan, 4 Nokia Research Centre / Nanoscience Centre, University of Cambridge, Cambridge United Kingdom, 3 Quantum Engineering, Nagoya University, Nagoya Japan
Show AbstractA detailed understanding of post-growth processing for bottom-up semiconductor nanowires is essential to reproducible contact formation and device integration.Here, we focus on aspects of post-growth oxidation and silicidation of CVD grown silicon nanowires. We study deviations from the Deal-Grove model for bulk Si [1] and in particular show by SEM and TEM how the kinetics of Ni silicidation are affected by the nanowire diameter, orientation and thickness of the oxide shell. We compare the detailed silicide interface propagation to the dynamics of silicide mediated Si nanowire growth [2]. [1] Buettner et al., APL 89, 263106 (2006).[2] Hofmann et al, Nature Materials 7, 372 (2008).
6:00 PM - P4.24
Electrochemical Synthesis and Characterization of Cuprous Oxide Semiconductor Nanowires for Photovoltaic and Photoelectrochemical Applications.
Tyler Matthews 1 2 , Alvin Tang 1 , Ramamoorthy Ramesh 1 , Joel Ager 2 , Junqiao Wu 1 2
1 Materials Science and Engineering, University of California at Berkeley, Berkeley, California, United States, 2 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractResearch into semiconductor nanowires has experienced tremendous growth over the past decade owing to their great promise for a variety of applications, including photovoltaics, thermoelectrics, chemical sensing, and nanoelectronics, among others. The use of anodic aluminum oxide (AAO) template masks for the growth of nanowire arrays offers a number of distinct advantages over catalyst-assisted nanowire growth. The diameter, highly-ordered spacing, and length of the AAO nanopores can easily be controlled through careful choice of anodization conditions. The resultant amorphous alumina mask is highly insulating, allowing for the electrochemical deposition of materials that cannot be grown using traditional vapor phase deposition. Selective etching of the alumina layer is readily achieved, either partially or completely, exposing the nanowires for further processing after growth. In this way, a systematic study of nanowire array dimensions can be achieved for a number of device applications by simply tuning the AAO processing conditions. In this work we produce Cu2O nanowire arrays using the AAO template method for photovoltaic applications. Cuprous oxide (Cu2O) is a p-type semiconductor with a band gap of ~2 eV. The theoretical maximum efficiency for this bandgap is around 19%; however, the best efficiency obtained for thin film Cu2O p-n junctions is 2%, perhaps owing to the typically low carrier diffusion length in this system. The availability, cost of production, and environmentally-friendly nature of Cu2O makes it an attractive system for further efficiency optimization. The simplest method for producing thin film Cu2O photovoltaic devices is via the thermal oxidation of polished copper sheets. Owing to the low mobilities in these systems, photoexcited charge carriers need to be collected close to the charge-separation interface. To improve upon this collection mechanism we have grown vertically-aligned Cu2O nanowire arrays via electrochemical deposition through AAO template masks. Surrounding the nanowire arrays with an n-type transparent conducting oxide produces a photovoltaic device. The device characteristics are then compared to traditional thin-film Cu2O systems for a number of array dimensions.
6:00 PM - P4.28
Use of Group IV and III-V Semiconductors for Solar Fuels Production.
Nicholas Strandwitz 1 , Gregory Kimball 1 , Nathan Lewis 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractThe goal of widespread production of solar fuels from water and sunlight using semiconductor wire-arrays has been hampered by several barriers, in particular the lack of suitable materials for photoanodes. The requirements of an efficient photoanode include sufficient solar light absorption, efficient charge separation and transport, production of sufficient oxidative potential, and chemical stability at the semiconductor|liquid junction. In the challenge of producing a single material with all of these characteristics, one is met with a supposed paradox. Metal oxide semiconductors (e.g., Fe2O3, TiO2) exhibit marked stability in basic conditions under oxidizing potentials, yet suffer from insufficient visible light absorption and deleterious electronic properties. Conversely, III-V semiconductors and Si are known to possess excellent electronic figures of merit and sufficient optical absorption properties, yet are highly unstable under water oxidation conditions. In this talk I will report our progress toward developing stable wire-array photoanodes for water oxidation.
6:00 PM - P4.29
Ultraviolet Photodetector Made From P-type ZnO:Sb Nanowires.
Jyh Ming Wu 1 , Chiung-Wan Fang 2 , Lin-Tsang Lee 2 , Hsien-Hsin Yeh 3 , Yu-Hung Lin 4
1 Department of Material Science and Engineering, , Feng Chia University, , Taichung Taiwan, 2 Department of Applied Mathematics, National Chung-Hsing University, Taichung Taiwan, 3 Material and Chemical Research Laboratories, Industrial Technology Research Institute, HsinChu Taiwan, 4 Department of Materials Science and Engineering, National Tsing Hua University, HsinChu Taiwan
Show AbstractZinc oxide (ZnO) doped antinomy (Sb) nanowires have been synthesized to use for improving ultraviolet sensing properties. The nanowires were grown on alumina substrate at 600°C by vapor-solid (VS) process. Upon illumination by UV light (365 nm, 2mW/cm2), the photoelectric current of the ZnO:Sb nanowires exhibited a very high photo-response as compared to that of undoped ZnO nanowires. A highest ratio of photo-current to dark-current can be reached around 48.8-folds. The photo-current is strongly depending on the Sb dopant concentrations, which revealed by cathodoluminescence. A UV-vis analyzer was used to investigate the adsorption spectra of as-synthesized ZnO:Sb nanowires. The sensing mechanism for Sb doepd ZnO nanowires was investigated.
6:00 PM - P4.3
Photoluminescence Properties of Silicon Nanowires Produced by Wet Chemical Etching Using Varying Etching Conditions (Times, Temperatures).
Felix Voigt 1 2 , Gottfried Bauer 2 , Vladimir Sivakov 1 , Andreas Berger 1 3 , Silke Christiansen 1 3
1 , Institute of Photonic Technology, Jena Germany, 2 Institute of Physics, Carl-von-Ossietzky University, Oldenburg Germany, 3 , Max Planck Institute of Microstructure Physics, Halle Germany
Show AbstractSilicon nanowire (Si-NW) samples were prepared by Wet Chemical Etching of crystalline silicon wafers using various etching times. The diameters of these SiNWs ranged from 30 to 200 nm. Photoluminescence (PL) measurements were performed with excitation at 488 nm and a photon flux density of 8.3×1017 s-1cm-2. According to the diameter sizes > 10 nm, from quantum confinement theory no shift in PL peak energy compared to crystalline silicon is expected. However, PL measurements show peak emission energies in the range 1.4 to 1.6 eV. Moreover, a monotonic increase of the PL emission peak energy with etching time was found. After further treatment of the samples with HF, substantial PL emission was still detectable and the measured PL peak was pinned at 1.4 eV, irrespective of etching time. This forms the key observation of this contribution. We explain the observations by the hypothesis that the remaining PL emission is generated by nanosize structures located at the rough sidewalls of the Si-NWs. This hypothesis is supported by Transmission Electron Microscopy. Furthermore, we explain the shift of the PL emission peak with etching time for the untreated samples by superposition of two competing contributions to PL, originating on the one hand from nanostructured sidewalls of the Si-NWs and on the other hand from surface states of SiOxHy at the Si-NW surfaces.
6:00 PM - P4.30
Tuning the Thermal Conductivity of InAs Nanowires With Carrier Density.
Mandar Deshmukh 1 , Sajal Dhara 1 , Hari Solanki 1 , Arvind Ravikumar 1 , Vibhor Singh 1 , Arnab Bhattacharya 1
1 Department of Condensed Matter Physics and Material Science, Tata Institute of Fundamental Research, Homi Bhabha Road, Colaba, Mumbai, Maharashtra, India
Show AbstractWe measure thermal conductivity of four probe suspended InAs nanowires, using 3-omega technique. The InAs wires are ~3 micron long and ~60 nm in diameter. Measurements are done in the temperature range from 10K to 180K. The measured value of thermal conductivity in the nanowire is ~0.05W/m.K (at 100K), 2 orders of magnitude smaller than the bulk value for InAs. Our VLS grown n-type InAs nanowires have a measurable density of twin defects, which could give rise to the measured low thermal conductivity. Our four probe devices are in field effect transistor geometry and the carrier density in the nanowire can be tuned by the gate electrode. We observe two regimes -- one above 60K, where the thermal conductivity increases with decreasing carrier density and in the second regime, below 60K, the thermal conductivity of nanowire increases with increasing carrier density. We discuss the role of electrons and phonons to understand our results.
6:00 PM - P4.31
Three-dimensionally Directional Growth of Semiconductor Nanowires.
Geunhee Lee 1 , Yun Sung Woo 1 , Gil-Sung Kim 1 , Donghun Lee 1 , Kibum Kang 1 , Cheol-Joo Kim 1 , Moon-Ho Jo 1 2
1 Materials Science and Engineering, POSTECH, Pohang, Gyungbuk, Korea (the Republic of), 2 Graduate Institute of Advanced Materials Science, POSTECH, Pohang, Gyungbuk, Korea (the Republic of)
Show AbstractThe systematic NW integration usually requires epitaxial constraints, guiding templates during the growth stage, or supplementary processes posterior to the NW growth. Here, we report the spontaneously direction-controllable Si NW growth under the presence of a linear temperature gradient (TG) during catalytic vapor-phase syntheses. Specifically, based on the fact that the NW growth direction and the growth velocity are proportional to the locally established TG, we provide an empirical demonstration that the directional NW growth scheme can be indeed extended to achieve three-dimensional NW assemblies on arbitrarily contoured substrates or patterned substrates by local and temporal manipulation of the TG. Our growth scheme provides practical implication for the various integrated nanosystems as electronic circuitry, biological probes and energy conversion vehicles.
6:00 PM - P4.33
ZnO Nanowire Light-emitting Diodes Fabricated by Dielectrophoresis Technique on a Flexible Plastic Substrate.
Kwangeun Kim 1 , Myeongwon Lee 1 , Jeongmin Kang 1 , Changjoon Yoon 1 , Sangsig Kim 1 2
1 Department of Electrical Engineering , Korea University, Seoul Korea (the Republic of), 2 Department of Nano Semiconductor Engineering, Korea Unversity, Seoul Korea (the Republic of)
Show AbstractA novel approach to the fabrication of a ZnO nanowire light-emitting diode (LED) on a flexible plastic substrate by use of a dielectrophoresis (DEP) technique is introduced in this study. With this technique, n-type ZnO nanowires (NWs) are crossed with a p-type Si NW to form the p-n heterojunction LED. Electroluminescence (EL) spectrum of the LED shows an ultraviolet emission band in a wavelength range from 388 nm to 398 nm, which corresponds to the band gap of ZnO NWs (3.24 eV). This result indicates that the emission is dominated by the band-to-band transition of electrons in ZnO NWs. Using the DEP technique, we successfully aligned light-emitting diodes (LEDs) in array and confirmed their operation as individual LEDs as well as a whole.
6:00 PM - P4.34
Asymmetric Electrical Contacts of SnO2 Nanowire Device Consistently Analyzed by AC and DC Characterization.
Junghwan Huh 1 2 , Junhong Na 1 2 , Yonha Kim 1 , Jeong Sook Ha 3 , Sangtae Kim 2 , Gyu Tae Kim 1
1 Electrical Engineering, Korea University, Seoul Korea (the Republic of), 2 Department of Chemical Engineering and Materials Science, University of California Davis, Davis, California, United States, 3 Department of Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractIndividual SnO2 nanowire devices with an asymmetric contact have been consistently studied through DC and AC measurements. The V-I characteristics show a rectifying effect and an asymmetric behavior owing to the Schottky contact. The dominant transport through the Schottky barrier evolves from tunneling to thermionic and thermionic emission transport at the forward bias as the temperature increases. On the other hands, the temperature dependence at the reverse bias can be explained by the thermionic transport theory. The ambient gas dependence of the impedance is attributed to the adsorbed oxygen causing change the depletion layer. The bias voltage can modulate the Schottky barrier height, which can be well described by the image force lowering mechanism. The ambient gas dependence of the capacitance is stronger than the bias dependence. Our results demonstrate the electrical characteristics and the relevant equivalent circuit for the device with an asymmetric contact. The model and the analysis can be utilized for evaluating and simulating an asymmetric contact in nanowire devices.
6:00 PM - P4.35
Germanium Nanowires Below 20nm in Diameter: Structure and Mechanical Properties.
Dorothee Almecija 1 3 , Gillian Collins 2 3 , Markus Boese 3 , John Sader 4 , Justin Holmes 2 3 , John Boland 1 3
1 School of Chemistry, Trinity College Dublin, Dublin Ireland, 3 , Centre for Research on Adaptive Nanostructures and Nanodevices, Dublin Ireland, 2 Department of Chemistry, University College Cork, Cork Ireland, 4 Department of Mathematics and Statistics, University of Melbourne, Melbourne, Victoria, Australia
Show AbstractGermanium nanowires have been the topic of a significant amount of research in the past few years due to its interesting electrical and mechanical properties(1). Many papers have been reported on the subject of mechanical properties and we will discuss the different approaches and their consequences on the data reported.Germanium nanowires with diameters between 10-40nm were synthesised using a super critical fluid (SCF) method with gold nano-particles capped with semi-fluorinated thiols as the catalyst. HRTEM of both flat and cross sections of nanowires were performed. Twinning was present in a significant amount of these wires, which we think is dependent on the growth direction(2).Mechanical measurements were also performed on these wires, using our well established AFM technique(3) where an AFM tip laterally loads a spanning wire and a force-displacement curve is recorded. Over 40 wires were studied across the diameter range and Young Modulus, Ultimate Strength and Strain at Break will be discussed in relation to diameter. We have also performed similar experiments directly on a TEM grid to study the fracture mechanism of these wires. HRTEM of broken wires will be shown and correlated to their mechanical properties.1.Wu X, Kulkarni JS, Collins G, Petkov N, Almecija D, Boland JJ, et al. Synthesis and Electrical and Mechanical Properties of Silicon and Germanium Nanowires. Chemistry of Materials. 2008;20(19):5954-67.2.Hanrath T, Korgel BA. Crystallography and surface faceting of germanium nanowires. Small. 2005;1(7):717-21.3.Wu B, Heidelberg A, Boland JJ. Mechanical properties of ultrahigh strength gold nanowires. Nature Materials. 2005;4:525-9.
6:00 PM - P4.36
Anomaly Enhancement of the Magnetoresistance and Magnetothermopower in Semiconductor Wires Bi1-x Sbx.
Albina Nikolaeva 1 2 , Pavel Bodiul 1 , Leonid Konopko 1 2 , Eugen Moloshnik 1 , Ivan Popov 1
1 , Institute of Electronic Engineering and Industrial Technology, Chisinau Moldova (the Republic of), 2 , International Laboratory of High Magnetic Fields and Low Temperatures, Wroclaw Poland
Show AbstractThe possibility to transform narrow-gap materials into the gapless state, which qualitatively differs from the semiconductor and metallic phases in many respects, is of considerable interest both for solid state physics and for practical applications.The aim of the present work was to study specific features of the resistance and thermopower of Bi1-x Sbx wires near the gapless state as a function of temperature, magnetic field, and wire diameter.A giant increase in the transverse magnetoresistance and magnetothermopower in single-crystal Bi1-x Sbx wires obtained by liquid phase casting [1] in the temperature range 80-100 K is found. A shift to the range of lower (T < 80 K) or higher (T > 100 K) temperatures leads to the suppression of the giant increase in the magnetoresistance. The effect is interpreted in terms of the substance transition to the “gapless state”. According to the theoretical analysis of [2], the disappearance of the energy gap between the bands Eg is accompanied by a significant increase in mobility that leads to a sharp increase in the magnetoresistance; herein, the gapless state corresponds to the mobility maximum. While moving from the state with Eg = 0, the mobility decreases.Thus, studying the effect of transverse magnetoresistance on temperature and composition of Bi1-x Sbx wire alloys, one can distinguish regions of maximum increase in the mobilities that leads to a significant increase in the magnetoresistance in certain temperature ranges, which is of interest for practical use of these wires for sensing magnetic fields.1. A.Nikolaeva, T.E.Huber, D.Gitsu, and L.Konopko. Diameter dependent thermopower of bismuth nanowires. Phys.Rev.B 77, 035422, 2008.2. Abrikosov A.A., Fal’kovskii A.A. The theory of the electronic energy spectrum of the metals with bismuth type lattice. JETP, v.43, N3, 1089, 1962.
6:00 PM - P4.37
Electrical Characterization of Phosphorus Doped Silicon Nanowires.
Jose Alvarez 1 , Irene Ngo 1 , Marie-Estelle Gueunier-Farret 1 , Jean-Paul Kleider 1 , Simon Perraud 2 , Christine Morin 2 , Pascal Faucherand 2 , David Kohen 2 , Michel Levis 2 , Caroline Celle 2 , Celine Mouchet 2 , Emmanuelle Rouviere 2 , Jean-Pierre Simonato 2
1 , Laboratoire de Genie Electrique de PAris, CNRS UMR8507, Gif-sur-Yvette France, 2 , CEA, Laboratoire des Composants pour la Récupération d’Energie, Grenoble France
Show AbstractPhosphorus doped silicon nanowires (SiNWs) have been synthesized by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on n-type silicon substrates. Afterwards, the array of nanowires was planarized as described in Ref. 1. The electrical properties of those nanowires were measured using a conductive probe atomic force microscope (CP-AFM) with a focus on the effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW. Evidence of doping was demonstrated by a decrease of the contact resistance with increasing the phosphorus concentration. In addition, the SiNWs resistivity was estimated through current-voltage measurements. Further characterizations based on capacitance measurements were carried out depositing a Schottky contact on top of the SiNW array with the purpose to evaluate the active dopant concentration in the material. [1] S. Perraud, S. Poncet, S. Noël, M. Levis, P. Faucherand, E. Rouvière, P. Thony, C. Jaussaud, R. Delsol, Sol. Energy Mater. Sol. Cells 93 (2009) 1568.
6:00 PM - P4.38
Recrystallization, Strain and Charge Transport in Ion Implanted Nanowires Patterned on Strained SOI.
Renato Minamisawa 1 , Stefan Habicht 1 , Dan Buca 1 , Reinhard Carius 2 , Stefan Trellenkamp 1 , Bruno Ghyselen 3 , Siegfried Mantl 1
1 Institute of Bio and Nanosystems (IBN-1), Forschungszentrum Juelich, Juelich, NRW, Germany, 2 Institute of Energy Research (IEF), Forschungszentrum Juelich, Juelich, NRW, Germany, 3 , SOITEC, Bernin France
Show AbstractStrained Si nanowires (sSi NW) on insulator are attractive for deeply-scaled CMOS devices since the advanced material shows enhanced carrier mobility, and combined with gate all around, optimal electrostatic gate control can be achieved. However, the integration difficulty of strained Si NWs resides in a precise control of the processing technique in order to conserve the essential property of this material: the elastic strain. Critical issues are wire patterning and source/drain formation through ion implantation and annealing. The capability to simultaneously dope and conserve strain in ion implanted sSi NWs is still a key requirement to maintain the strain benefits, particularly, in short channel devices. In this work we report the effects of As+ ion implantation and annealing on the strain relaxation and resistivity of different types of sSi NWs. Wires with diameter down to 35 nm and implantation dose ranging from 5E14 to 2E15 cm-2 are investigated. A detailed comparison between SSOI layers, NWs patterned on doped layers and, directly doped NWs, is performed in order to determine the influence of processing on the wires structural and electrical properties. Pseudomorphic epitaxial regrowth, meaning full strain recovery, is observed for 25 nm SSOI layers independent of the implanted dose, in the investigated range. However, for the implanted NWs only for low As+ implantation dose total relaxation can be avoided. The change in the wire resistivity with the decrease of the NWs width is explained by uniaxial strain relaxation and dielectric confinement effect. In the latter case, dielectric confinement increases the dopant ionization energy inducing dopant deactivation, and decreases the effective conduction cross section of the nanostructures. This effect is reduced if high implantation doses are used. We show that strain conservation and low sheet resistivity close to the specific resistivity of a doped SSOI layer can be obtained only if the NWs are patterned from doped layers. Using this approach, we obtained 35 nm wide strained Si NWs patterned on 25 nm thin SSOI layer doped up to the solubility limit.
6:00 PM - P4.39
Three Synthesis Routes of Single-crystalline PbS Nanowires and Their Electrical Transport Properties.
So Young Jang 1 , Yun Mi Song 1 , Han Sung Kim 1 , Young Suk Seo 1 , Jeunghee Park 1
1 Materials Chemistry, Korea University, Jochiwon Korea (the Republic of)
Show AbstractSingle-crystalline PbS nanowires were synthesized using three different routes; (i) solvothermal, (ii) chemical vapor transport, and (iii) gas-phase cation exchange reaction of pre-grown CdS nanowires. They consisted of rock-salt structure PbS nanocrystals, uniformly grown in the [100] or [110] direction. The solvothermal reaction produced the single-crystalline nanowires using the steric hindrance of the octylamine ligands. The more straight nanowires with a [110] growth direction were grown under the higher concentration of ligands or lower growth temperature. Otherwise, the zigzagged nanowires are grown along the [100] direction, by assembling the octahedraons. The chemical vapor transport produced the single-crystalline nanowires, uniformly grown along the [100] direction. We also synthesized the PbS nanowires or nanobelts by the conversion reaction of single-crystalline wurtzite CdS nanowires or nanobelts (growth direction = [0001] or [011(_)0]) by Pb vapor transport. The transmission electron microscopy snapshots the construction of PbS nanocrystal domain by forming the heterojunction with the CdS residuals. The conversion takes place through the highest surface energy planes {110} of PbS, producing uniformly [110] wire axial direction. We fabricated field effect transistors using single nanowire, providing evidence for its intrinsic p-type semiconductor characteristics, for all three routes. The highest value of the carrier mobility was estimated to be 10 cm2V−1s−1 and their concentration to be 2x1017 cm-3, for the NW grown using vapor transport method.
6:00 PM - P4.4
Growth Mechanism of Zinc Oxide Nanowires - Geometrical Selection and the Effects of ZnO Binding Peptides.
Tammy Olson 1 , Alexander Chernov 1 , Joe Satcher 1 , T. Yong-Jin Han 1
1 , Lawrence Livermore National Laboratory, Livermore , California, United States
Show AbstractUnderstanding the mechanism of nanowire growth is a crucial step in controlling their properties for numerous applications. In the current study, growth of zinc oxide nanowires from a seed layer was investigated. Densely packed, uniform nanowires were grown on both polycrystalline and single crystalline seed layers. We hypothesized that "geometrical selection" was playing a role in the growth mechanism of these nanowires. To validate our hypothesis, photoresist masks of different thicknesses with uniform holes were applied on top of the seed layers by electronbeam lithography. Our results show that the number of nanowires grown out of the photoresist holes is dependent on the number of seed crystals with proper orientation to grow with minimum impedance, reconfirming that the geometrical selection process dominates the growth mechanism of ZnO nanowires on various substrates. The synthesis of the seed layers and the aging affect of the zinc oxide precursors on the quality of the seed layer will also be discussed.
6:00 PM - P4.40
Epitaxial Silicon Nanowire Growth Catalyzed by Gold Dot Arrays from Electron Beam Lithography Patterning using Silane Precursors.
Bjoern Hoffmann 1 , Gerald Broenstrup 1 , Uwe Huebner 1 , Silke Christiansen 1 2
1 Semiconductor Nanostructures, Institute of Photonic Technology, Jena Germany, 2 , Max Planck Institute of Microstructure Physics, Halle Germany
Show AbstractOrdered arrays of silicon nanowires (SiNWs) are promising building blocks for a variety of photonic, photovoltaic and sensor applications. In our approach to create SiNWs we use electron beam lithography (EBL) to nano-pattern a positive resist that resides on a native oxide layer (SiO2) on top of a Si(111) wafer which serves as the substrate for epitaxial SiNW growth. This patterned resist serves as a shadow mask for the subsequent thermal evaporation (in ultra high vacuum) of gold (Au) layers of various thicknesses. Thus, defined Au nano-dot arrays are created with varying diameters and pitch. These Au dots are subsequently used to catalyze the bottom-up growth of SiNWs that follows the vapor-liquid-solid (VLS) growth mechanism using silane in a chemical vapor deposition (CVD) reactor. While silane is used as the precursor gas for SiNW growth, diborane and phosphine are used for p- and n- co-doping. The grown nanowires are characterized structurally using scanning electron microscopy (SEM) and transmission electron microscopy (TEM) and electrically using I-V measurements in a 4-point-probe configuration of individual SiNWs using EBL to realize ohmic contacts on the wires. We observe epitaxial growth of the SiNWs on the Si(111) wafer and we are able to control the growth direction to be either dominated by <111> or <112> directions by just changing the silane partial pressure. The lengths as well as the diameters of the wires are precisely controlled by the EBL Au dot patterning and CVD parameters so that diameters from 50 to 300 nm and lengths from 1 to 50 µm can be prepared.To predict wire diameters modelling is carried out that takes into account the EBL- and CVD-parameters and describes the observed experimental results very well.Furthermore we observed an influence of the wafer miscut on the preferential growth of SiNWs in certain of the <112> directions.To make SiNWs well suited for their applications, doping is needed, which is done by adding diborane or phosphine during CVD growth.
6:00 PM - P4.46
Optical Properties of Shape Controlled and Epitaxially Grown GaN Nanostructures.
Taeseup Song 1 , Kichun Kil 2 , Jeong Hyun Kim 1 , Won Il Park 1 , Ungyu Paik 1 2
1 Division of Materials Science Engineering, Hanyang University, Seoul Korea (the Republic of), 2 Department of Energy Engineering, Hanyang University, Seoul Korea (the Republic of)
Show AbstractWe demonstrate epitaxial growth of GaN one-dimensional nanostructures (1DNSs) that have strong near band-edge (NBE) emission using a simple and cost-effective method. By adjusting the synthesis parameters, ultrafine GaN nanorods and corrugated GaN nanostructures embedded in GaN film are synthesized. A good c-axis orientation of GaN film and nanostructures was confirmed by XRD analysis. The optical properties of film and nanostructures were investigated by spatially- and spectrally- resolved cathodoluminescence (CL) measurements. NBE emission intensities of the GaN 1DNSs were ~8–20 times higher than that of GaN thin film. More interestingly, ultrafine GaN nanorod with hexagonal facet exhibited ~2.5-fold greater CL intensity than corrugated, GaN nanostructures. Detailed optical properties will be presented.
6:00 PM - P4.47
Vertically Aligned ZnO Nanorods Grown by Elemental Vapor-phase Synthesis on Different Substrates and p-n Junctions Fabricated on the Basis of Them.
Oleg Kononenko 1 , A. Red'kin 1 , G. Panin 1 2 , D. Matveev 5 , A. Kovalenko 3 , A. Baranov 4
1 , Institute of Microelectronics Technology and High Purity Materials, RAS, Chernogolovka, Moscow region, Russian Federation, 2 Quantum-functional Semiconductor Research Center, Department of Physics, Dongguk University, Seoul Korea (the Republic of), 5 , Institute of Solid State Physics, RAS, Chernogolovka, Moscow region, Russian Federation, 3 Department of Materials Science, Moscow State University, Moscow Russian Federation, 4 Chemistry Department, Moscow State University, Moscow Russian Federation
Show AbstractAligned ZnO nanocrystal arrays on substrates are commonly grown using a thin metal film as a catalyst for 1D growth. The drawback to this method is that the nanocrystals may be contaminated with the catalyst. Moreover, catalyst particles as a rule remain on the tips of the grown zinc oxide nanocrystals.Very recently, there have been reports on the preparation of aligned zinc oxide nanorod arrays with no 1D growth catalysts, in particular, using substrates coated with polycrystalline zinc oxide. Liu et al. obtained well-aligned ZnO nanorods on porous silicon substrates.Our previous results [1] demonstrated that aligned high-quality zinc oxide nanorod arrays can be produced by elemental vapor-phase synthesis with no 1D-growth catalysts and with no special substrate preparation steps. At the same time, the ZnO nanocrystals grown by such way were found to vary widely in shape, depending on the process conditions.Vertically aligned ZnO nanorods were synthesized on silicon wafers and ITO, NiO, Al films. Nanorods demonstrated high structural performance and good optical characteristics. Heterogeneous p-n junctions were fabricated from aligned ZnO nanorods by deposition of NiO films or PEDOT onto nanorod tips. Measurements of I-V characteristics were performed under the UV illumination and in the dark. [1] Red’kin, A.N., Makovei, Z.I., Gruzintsev, A.N., et al., Vapor Phase Synthesis of Aligned ZnO Nanorod Arrays from Elements, Neorg. Mater., 2007, vol. 43, no. 3, pp. 301–306[Inorg. Mater. (Engl. Transl.), vol. 43, no. 3, pp. 253–257].
6:00 PM - P4.48
Selective Epitaxial Growth of InGaN Nanowires on Patterned Templates.
Yi Shi 1 2 , Ruihua Cao 1 2 , Peng Chen 1 2 , Xugao Cui 1 2 , Xin Li 1 2 , Yin Hao 1 2 , Lin Pu 1 2 , Lijia Pan 1 2 , Rong Zhang 1 2 , Youdou Zheng 1 2
1 Department of Physics , Nanjing University, Nanjing China, 2 Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Nanjing University, Nanjing China
Show AbstractThe ability to rationally synthesize semiconductor nanowires with precisely controlled and tunable chemical composition, size, structure and morphology has opened up opportunities for the applications ranging from electronics, optoelectronics and photonics to biological sensors. Here, we present an integrated method to fabricate InGaN nanowires on nanoscale prepatterned templates by selective epitaxial growth via metalorganic chemical vapor deposition (MOCVD). Experimentally, a nanoscale patterned SiO2 layer was firstly obtained on GaN/sapphire substrate in terms of two-step dry transfer of aligned SnO2 nanowires, and then InGaN nanowire was fabricated by selective epitaxial growth using MOCVD system. Here, the SnO2 nanowire had a smooth surface and an average length of hundreds of micron, being important to the formation of SiO2 templates. The morphologies and microstructures of nanowires were investigated mainly by using SEM and TEM. SEM observations reveal that the morphologies of InGaN nanowires are dependent on the orientation and width. In addition, a large ratio of mask width to nucleation width can also reduce the growth selectivity between GaN surface and SiO2 surface. Cross-section TEM images show that dislocation-free InGaN nanowire has a triangular cross-section with its growth direction perpendicular to GaN {0001} plane. The kinetic mechanism on the selective epitaxial growth of InGaN nanowires on patterned templates is analyzed.Futhermore, Cathodoluminescence and Photoluminescence were employed to investigate the optical properties of the nanowires, respectively. Both of them indicate that intense ultra-violet luminescence happens around 426 nm, which corresponds to the near-band-edge emission of InGaN nanowire. These InGaN nanowires can be expected to fabricate LED, Laser and Waveguide devices due to its high crystal qualities, special structures and large lengths.
6:00 PM - P4.49
A New Approach for Fixing SnO2 Nanowires on Microarray Chips for Sensing Purposes.
Jaswinder Mann 1 , Thomas Schneider 2 , Udo Geckle 2 1 , Michael Jacob 1 , Martin Sommer 1
1 Karlsruhe Institute of Technology (KIT), Institute of Microstructure Technology (IMT), Karlsruhe Germany, 2 Karlsruhe Institute of Technology (KIT), Institute of Materials Research (IMF III), Karlsruhe Germany
Show AbstractMetal oxide semiconductor gas sensors based on the change in resistance can exhibit sensory advantages. The most important aspect of investigation in the field of gas sensors is 3 ”S”, i.e. sensitivity, selectivity and stability. Besides many efforts stability of the sensors still needs to be taken care of. The extra ordinary properties of one-dimensional nanostructured materials are beneficial for the fabrication of novel analytical devices that have advantages over traditional ones. In continuation with the aim to improve the properties of gas sensor devices a new approach is adopted to prepare nanowire based micro sensor arrays (micronose). SnO2 nanowires were grown on silicon substrates (with 130 nm sputtered layer) using thermal evaporation method in a quartz tubular furnace at 950 °C. The grown nanostructures are characterized using scanning electron microscopy (SEM), field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (HRTEM). SEM micrographs show a uniform and high density growth of nanowires on SnO2 sputtered substrates. FESEM results reveal the growth of wire like structures of about 100-800 µm in length and diameter ranging from 50 nm to 200 nm. The XRD pattern confirms the growth of crystalline nanowires with typical rutile phase of SnO2 on these sputtered SnO2 silicon substrates. The grown nanowires are transferred to the standard micro chip, 9x10 mm2, consisting of 39 platinum strips (38 sensor segments) along with two platinum temperature sensors on the front side of the chip. These shadow mask depositions have been carried out using RF sputtering system. Four platinum heaters are sputter deposited on the rear side of the chip so as to provide a temperature gradient on the chip. In order to fix the nanowires on the substrates a thin porous layer of silicon dioxide (20 nm) has been deposited using ion beam assisted deposition. Furthermore, the Gaussian-shaped deposition layer leads to individual changes of the sensor-segment resistances resulting in individual resistance patterns for different gases. To the best of our knowledge, this is a new approach of fixing nanowire without influencing its properties.The first prototype micronose is mounted on a ceramic base with gold wires and is tested using Karlsruhe Micronose (KAMINA) equipment for 2-propanol. The nanowire chip based microsensors are found to be sensitive towards 2-propanol at room temperature. The measurements are performed at higher temperatures as well. The resistance of the nanowire based micronose lies in the range of 2 MΩ to 10 MΩ. Both quantitative and qualitative analyses of the gas components present in the test environment have been done simultaneously. Reference[1]. “Nanobelts, Nanowires, and Nanodiskettes of semiconducting oxides- from Materials to Nanodevices” Zhong Lin Wang, Adv. Mater.2003, 15, 432-436.
6:00 PM - P4.5
P-type Arsenic-doped ZnSe Nanowire Field Effect Transistors.
Song Haisheng 1 , Zhang Wenjun 1 , Tang Youbing 1 , Lee Chunsing 1 , Lee Shuit Tong 1
1 Department of physics & material science, faculty of science, Hong Kong China
Show AbstractReliable p-type conduction was achieved in ZnSe nanowires (NWs) synthesized by introducing Zn3As2 as a dopant source. The crystal structure and orientation of NWs remained unchanged after doping. The electrical and transport properties of As-doped ZnSe NWs were investigated via the characteristics of NW-based field-effect transistors. The origin of p-type conduction in ZnSe NWs is attributed to the formation of substitutional AsSe and AsZn−2VZn complexes. Arsenic atoms were considered to incorporate into ZnSe lattices partly as As–H pairs; therefore postgrowth annealing could improve p-type conduction by dissociating As–H bonds and activating As acceptors.
6:00 PM - P4.51
Characterization of the Surface at the Initial Stage of Silicon Nanowires Growth.
Irene Ngo 1 , Jose Alvarez 1 , Guenier-Farret Marie-Estelle 1 , Jean-Paul Kleider 1 , Benedict O'Donnell 2 , LinWei Yu 2 , Pere Roca i Cabarrocas 2
1 , Laboratoire de Génie Electrique de Paris, CNRS UMR8507, Gif sur Yvette France, 2 , LPICM, Ecole Polytechnique, CNRS, Palaiseau France
Show AbstractSilicon nanowires were grown directly on transparent conductive oxide layers using a single pump down process in a plasma enhanced chemical vapour deposition system. Layers of ITO and SnO2 on glass substrates were exposed to a hydrogen plasma leading to the reduction of the oxide and to the agglomeration of the metal into metallic droplets of a few tens of nanometers in diameter. As the diameter and the density of the nanowires depend on the catalysts droplets size and density, we studied step by step the evolution of the surface prior to and at the initial stage of the nanowire growth. The catalyst droplets size and distribution were investigated through Scanning Electron Microscopy (SEM) and Conductive Probe Atomic Force Microscopy (CP-AFM). The latter technique was further used to investigate the electrical properties of nanowires that were grown using plasma assisted VLS with silane as a precursor gas[1]. [1]Linwei Yu, Pierre-Jean Alet, Gennaro Picardi, Isabelle Maurin and Pere Roca i Cabarrocas, Nanotechnology 19, 485605 (2008), doi: 10.1088/0957-4484/19/48/485605
6:00 PM - P4.52
Doped ZnO Nano-wires and Nano-ribbons by Vapor Phase Transport.
Shumaila Karamat 1 , Eslam Ghareshabani 1 , Zhenying Pan 1 , Zheyang He 1 , Paul Lee 1 , Tan tuck lee Augustine 1 , Rajdeep singh Rawat 1
1 Natural Science and Science education, National Institute of Education, Nanyang Technological University, Singapore, Singapore, Singapore, Singapore
Show AbstractZnO structures especially quasi-one dimensional nanostructures have stimulated intensive interest due to their distinguished performance in electronics, optics and photonics. ZnO is a key technological material. ZnO nano-clusters and thin films have also been shown to exhibit room temperature UV lasing properties. Due to the promising application of ZnO nano-wires in nano scale optoelectronic devices, it is important to be able to synthesize these nano-wires in single crystalline form and study their optical properties. Here we report the use of the vapor phase transport process to grow ZnO and ZnO doped transitional metal (TM) nano strcutures. Nano-wires at different pressures were grown via the vapor phase transport mechanism. ZnO nano-wires and Mn doped ZnO nano-ribbons were studied in detail. XRD peaks show hexagonal structure of ZnO. Room temperature photoluminescence spectrum of as prepared nano-wires shows two emissions in UV and visible regions that can be ascribed to the near band edge (NBE) transition and defects respectively. Compositional analysis was also done to investigate the change in the valance states of elements.
6:00 PM - P4.53
Growth of Highly Doped Silicon Nanowires and Axial p-i-n Heterostructures in Nanowires by Pulsed Laser Deposition.
Bjoern Eisenhawer 1 , Andreas Berger 2 , Dongfeng Zhang 3 , Bjoern Hoffmann 1 , Johann Michler 3 , Silke Christiansen 1 2
1 Semiconductor Nanostructures, Institute of Photonic Technology, Jena Germany, 2 , Max Planck Institute of Microstructure Physics, Halle Germany, 3 , EMPA - Swiss Federal Laboratories for Materials Testing and Research, Thun Switzerland
Show AbstractIn the recent years the use of nanowires often grown by metal catalyzed vapour liquid solid growth (VLS) for electronic devices like diodes and transistors has become a topic of great interest in the international community. Although the realization of logic devices has been shown, reliable growth of doped nanowires is still a challenge. High doping levels are required for fast response times in small electronic devices, but conventional chemical vapor deposition (CVD) is reported to be limited in maximal doping levels due to the required gas phase decomposition reactions or low soluability of dopants in the eutectic Au-Si droplets.The use of Physical Vapor Deposition for VLS nanowire growth could support successful nanowire doping as there is no decomposition reaction required. In our experiments, we used Pulsed Laser Deposition (PLD) to grow highly doped Silicon Nanowires. PLD was used for the reason that the stoichiometry of the target material equals the stoichiometry of the ablated material and thus the doping level of the growth species can easily be controlled by the choice of the ablated target.We synthesized highly boron (p)-doped as well as highly phosphorous (n)-doped nanowires by PLD. In a further approach we combined PLD growth of SiNWs with CVD growth to synthesize p-i-n- axial heterostructures. The grown nanowires were analyzed using electron beam induced current (EBIC). These analyses show high dopant levels of the grown nanowires. Current-Voltage (IV) measurements of individual nanowires will be presented. The crystalline quality of the doped nanowires is shown by Transmission Electron Microscopy.
6:00 PM - P4.55
Detailed Investigation of the Growth Kinetics and Mechanism of ZnO Nanorods in Methanol.
Michael Voigt 1 , Martin Klaumuenzer 1 , Wolfgang Peukert 1
1 , Institute of Particle Technology, Erlangen Germany
Show AbstractZnO nanorods were prepared by a precipitation route in methanol. The growth kinetics and growth mechanism of the rods are investigated by a combination of scanning and high resolution transmission electron microscopy as well as x-ray diffraction analysis. The growth of the rods occurs in three consecutive stages. In stage I nucleation and growth of quasi-spherical particles of 5 nm to 8 nm diameter is observed. In stage II oriented attachment of a limited number of the “spheres” along the c-axis occurs. This leads to the formation of rod-like aggregates with an aspect ratio of 2. In stage III further increase of the aspect ratio is observed, which is explained by ripening. Hexagonal shaped ZnO nanorods of up to 100 nm in length and up to 20 nm in diameter were obtained. The rods were elongated along the c axis of wurtzite ZnO. Epitaxial formation of doublets from the rods is observed occasionally. Similar to the results of Bell et al. the rods exhibit one cone-like end and one flat end. This indicates that the growth occurs predominantly against the c axis. Our main finding is that the growth follows a power law as a function of the ripening time with exponents of n = 0.32 to n = 0.39 for the length of the rods and n = 0.17 to n = 0.21 for the diameter of the rods. These exponents are in consistence with the model of Kitayama et al. for anisotropic Ostwald ripening in the case that the growth is controlled by volume diffusion. In addition, the kinetic constant for the growth is found to be independent on the crystal direction, as expected for growth that is limited by volume diffusion. In contrast to former studies on the growth of ZnO nanorods in alcohols our results indicate that the growth is dominated rather by anisotropic Ostwald ripening that is limited by volume diffusion than by isotropic Ostwald ripening within the classical Lifshitz-Slyozov-Wagner model. From the comparison of the SEM data with the XRD data it can be derived, that a significant number of structural defects are formed during growth which reduce the (002) coherence length. In particular, the reduction of the (002) coherence length might be due to the insertion of stacking faults.
6:00 PM - P4.56
MBE Growth of IV-VI Quantum Wires on a Self-organized Template.
Lee Elizondo 1 2 , Patrick McCann 2 , Matthew Johnson 3 , Joel Keay 3
1 , Raytheon Vision Systems, Goleta, California, United States, 2 School of Electrical and Computer Engineering and CSPIN: Center for Semiconductor Physics in Nanostructures, University of Oklahoma, Norman, Oklahoma, United States, 3 Homer L. Dodge Department of Physics and Astronomy and CSPIN: Center for Semiconductor Physics in Nanostructures, University of Oklahoma, Norman, Oklahoma, United States
Show AbstractThis presentation describes the growth, characterization, and theory of one-dimensional IV-VI semiconductor quantum wires as they evolve from one-dimensional quantum dot/dot-chains on a self-organized template epitaxially grown on Si(110). The initial growth of the CaF2/Si(110) templates is two-dimensional with a (1×3) surface reconstruction as observed by Reflection High Energy Electron Diffraction (RHEED). Continued growth of CaF2 on Si(110), however, results in a ridge and groove morphology oriented along the[-110]direction. This characteristic morphology arises from the formation of twinned crystallographic boundaries with exposed low-energy{111}crystalline facets. The grooves vary in depth from 5 to 20 nm for a 400-nm-thick CaF2 layer and are expected to provide a suitable template for the growth of one-dimensional (1-D) quantum wire structures. Recent results show that the ensuing growth of several monolayers of PbSe on CaF2 immediately adopts a dot-chain configuration with some preferential alignment parallel to the ridges and grooves in the [-110]direction. In order to transition further from dots/dot-chains to controllable radii quantum wires, experiments were performed with a lower substrate temperature. The lower substrate temperature in combination with the Se background flux appears to result in the formation of quasi-one-dimensional nanostructures. The distinctly different surface morphology, with a change in growth conditions, confirms that with further exploration it should be possible to grow an array of IV-VI semiconductor quantum wires confined in a host matrix material.
6:00 PM - P4.57
Implementation of Silicon Nanowire Arrays in Microfabricated Devices for Thermoelectric Generation.
Diana Davila 1 , Albert Tarancon 1 2 , Marta Fernandez-Regulez 1 , Marc Sansa 1 , Neus Sabate 1 , Alvaro San Paulo 1 , Isabel Gracia 1 , Carles Cane 1 , Luis Fonseca 1
1 Department of Micro and Nanosystems, National Center of Microelectronics - CSIC, Bellaterra, Barcelona, Spain, 2 Department of Advanced Materials for Energy Applications, Catalonia Institute for Energy Research (IREC), Barcelona, Barcelona, Spain
Show AbstractThe increasing alarm due to global warming, as well as other environmental consequences derived from the irrational use of fossil fuel, force to the development of new technologies for the efficient use of the energy and a greater sustainability. Energy lost as heat during the production of electricity all over the world could be harnessed through the use of thermoelectric generators. Traditionally, thermopower generators have not been successfully integrated in silicon because of its poor thermoelectric properties. However, silicon nanowires have been recently discovered to be an efficient thermoelectric material. Since silicon is the most widely used material in the microelectronics industry, this discovery opens fresh perspectives for integration of micro-thermogenerators.For this work, we have implemented silicon nanowires in micromachined structures evaluating the compatibility of typical micro-fabrication technologies (wet etching, reactive-ion etching, lift-off, etc) and the vapor-liquid-solid growth mechanism (VLS). Different test structures have been designed, simulated and microfabricated in order to measure the thermoelectric properties of silicon nanowires, as well as their electrical and thermal contact resistance. The thermal characterization process consists in optically measuring the temperature difference through silicon nanowire arrays of different lengths while the electrical conductivity is measured through four-probe measurements at different temperatures. Thermal and electrical measurements will be discussed in the conference as well as preliminary characterization of thermoelectric generators for energy harvesting applications.
6:00 PM - P4.6
Defect Free Vertical InP NWs Grown on SrTiO3 (STO) Substrates by VLS-MBE.
Khalid Naji 1 , Herve Dumont 1 , Guillaume saint Girons 1 , Gilles Patriarche 2 , Michel Gendry 1
1 , INL-UMR5270/CNRS, Ecully France, 2 , LPN-UPR20/CNRS, Marcoussis France
Show AbstractIn recent years, the monolithic integration of III-V semiconductors on silicon (Si) has motivated a variety of research works. This integration could allow combining superior optoelectronic properties of direct band gap III-V semiconductor materials with silicon technology. The growth of nanowires (NWs) by Vapor Liquid Solid mechanism on silicon substrate would allow this integration without fundamental issues such as lattice mismatch and thermal expansion. The integration of semiconductors like InP, InAs, GaAs, GaP must be realized on (001) oriented silicon substrate which is the standard choice as substrate for the electronic industry. It is also reported that III-V NWs have usually a wurtzite structure and contain stacking faults with cubic phase defects . An actual challenge is to control the crystalline quality and to obtain defect free III-V NWs. Our main goal is to obtain vertical and defect-free III-V NWs on Si(001). Thus, we will use a few nanometers thick SrTiO3 buffer layer as template to grow vertical InP nanowires on Si(001). This idea is taken from recent results from J. Cheng et al. study on crystalline orientation and structural quality of InP quantum dots grown on SrTiO3 substrate .In this work, we present preliminary results obtained on the growth of InP NWs on SrTiO3(001) substrates by VLS method with gold catalyst. We will show wurzite-type InP NWs obtained on SrTiO3 substrate which a majority of them are vertical. We will explain the role of the indium supersaturation of the gold catalyst droplet in the first stage of the growth on the orientation of InP NWs. We also present the effect of the substrate on the crystalline quality of the InP NWs .we compare TEM images of InP NWs obtained in the same conditions on InP(111), Si(001), and SrTiO3(001) substrates. We observe defect free InP NWs grown on SrTiO3(001) and InP(111) substrates. The effect of the composition of the catalyst droplets before the growth on the NWs crystalline quality and on the origin of stacking faults and/or cubic phases will be explained.
6:00 PM - P4.7
Facet-selective Epitaxial Growth of Heterogeneous Nanostructures: ZnO Nanorods on Ag Nanocrystals.
Fengru Fan 1 2 , Yong Ding 1 , Deyu Liu 2 , Zhongqun Tian 2 , Zhong Lin Wang 1
1 , School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 , State Key Laboratory of Physical Chemistry of Solid Surfaces, Department of Chemistry, College of Chemistry and Chemical Engineering, Xiamen University, Xiamen China
Show AbstractThe construction of functional heterogeneous nanocrystals is an important frontier in nanomaterials synthesis and the unique properties of heterostructures can be tuned by controlling the size, shape, chemical composition, surface and interfacial structure. Recently, the special attention has been paid on the rational design and synthesis of metal-semiconductor heterogeneous nanostructures because of their potential applications in developing future optoelectronic devices in nanosystems. Owing to the anisotropic property of metal nanocrystal, the selective growth of one nanomaterial on a specific crystal surface of Ag nanoparticles can be achieved through reasonable design and control of the growth environment. We have demonstrated the facet-selective growth of ZnO nanorods on {111} rather than {100} facets of Ag nanocrystals. By relying on systematic characterization, we have identified the fine structures and explained the formation mechanism of these nanostructures. The low-magnification SEM and TEM images show that a majority of the prepared structures adopt a combination of a Ag seed and ZnO nanorods. ZnO nanorods can grow directly on the facets of Ag without any other ancillary seed-assisted process. The crystal structures of the as-prepared nanocrystals were characterized by X-ray diffraction (XRD) and energy-dispersive X-ray spectroscopy (EDS), which showed that there are two separate phases, fcc Ag and wurtzite ZnO. The head and tails of the heterogeneous nanostructures are composed of a pure Ag nanoparticle and ZnO nanorods, respectively. The CBED data indicates that Zn atoms are the first layer bonded to the surface of Ag seeds and that the growth front of the nanorod is an oxygen layer. From SAED analysis, the {111} facet of Ag nanoparticles has a better structure match is more favorable for the nucleation and growth of ZnO. The growth mechanism of the facet-selective growth is attributed to two factors. The first factor is a good lattice and symmetry match between ZnO and Ag in the corresponding planes. The other is the direct interfacing of the Zn layer with Ag that initiates the formation of the ZnO lattice. The approach and mechanism proposed here could be applicable to other possible combinations of metal-semiconductor heterojunctions. The heterogeneous nanostructures are of special interest for studying electrical contacts, functional devices, biological sensors, and catalysis using metal-semiconductor heterostructures.[1] Zhong Lin Wang, J. Phys. Chem. B 2000, 104, 1153.[2] Feng-Ru Fan, Yong Ding, De-Yu Liu, Zhong-Qun Tian and Zhong Lin Wang, J. Am. Chem. Soc. 2009, 131, 12036–12037
6:00 PM - P4.9
Three-dimensional ZnO/ZnTe Heterostructure: Growth and Characterization.
Sang Hyun Lee 1 , D. Barton Smith 1 , Sung Seok A. Seo 1 , Jun Xu 1
1 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractHetero-semiconductor nanostructures with quasi one-dimensionality are of great interest due to their potential usefulness in electronic and photonic devices, such as LEDs, solar cells, and radiation detectors. In particular, the growth and modulation of hetero-nanowires in core-shell, longitudinal, and branched shapes have demonstrated feasibility in a variety of applications. In this presentation we will report on the fabrication of three-dimensional heterostructures based on vertically aligned nanowires. We used pulsed laser deposition (PLD) to deposit a ZnTe shell layer on an arrangement of ZnO nanowires. ZnO and ZnTe, are, respectively, intrinsic n- and p-type II-VI semiconductors, each with a direct band gap. Both materials have been intensively studied for use in optoelectric devices. In this work, ZnO/ZnTe heterojunction was fabricated by the following procedures. Vertically aligned ZnO nanowires with 180 nm diameter and 3 μm lengths were grown on a Si(100) substrate using chemical vapor deposition at 600oC. Prior to the growth, the substrate was repeatedly coated with zinc acetate dihydrate solution to form seeds of ZnO. The substrate with ZnO nanowires was placed into a PLD chamber that was evacuated to a pressure of 10-7 torr. The substrate was then heated to growth temperature of 450 C. The ZnTe deposition target was ablated using KrF laser radiation (248 nm), producing a plume of ZnTe directed normal to the substrate surface. The ZnTe deposition rate was 0.2 ~ 0.4 Å/pulse. The nanowires were merged by increasing the thickness of ZnTe shell, which led to the formation of the hybrid structure. The deposition of ZnTe on ZnO nanowires was confirmed using EDS and XRD measurements. We will present details of the properties of the ZnO/ZnTe nanowire heterojunction that were obtained using TEM, photoluminescence, and electrical measurements.The research was sponsored by the US Department of Energy, National Nuclear Security Administration, Office of Nonproliferation Research and Engineering, under contract No. DE-AC05-00OR22725 with Oak Ridge National Laboratory.
Symposium Organizers
Heike Riel IBM Research GmbH
Margit Zacharias University of Freiburg
Michael McAlpine Princeton University
Theresa Mayer Pennsylvania State University
P5: Growth of Si and Ge Nanowires I
Session Chairs
Wednesday AM, April 07, 2010
Room 2016 (Moscone West)
9:30 AM - P5.1
Vapor-solid Solid Growth of Ultra-thin Silicon Nanowires by Silver and Palladium.
Joerg Wittemann 1 , Andreas Kipke 1 , Ulrich Goesele 1 , Volker Schmidt 1
1 2, Max Planck Institute of Microstructure Physics, Halle [Saale] Germany
Show AbstractRecently it became clear that silicon nanowires (SiNWs) grown by gold face distinct problems when considering the requirements of semiconductor industry. First, because incorporated Au acts as a highly effective recombination center; and second, because Au removal after SiNW growth is quite challenging. Therefore, alternative catalyst materials for SiNW growth have to be identified. These substitutes, however, have to be capable of low temperature SiNW growth if considered for implementation in electronics fabrication. Ag and Pd seem to be feasible replacements corresponding to their reduced effectivity as recombination centers. Both metals have their lowest eutectic point with Si at roughly 800°C. Below the eutectic temperature: Pd forms several stable silicides with Si [1]; Ag, however, forms with Si a solid-solution on the Ag rich side [2]. We demonstrate with two different approaches the low temperature synthesis [T<500°C] indicating SiNW growth via the vapor-solid-solid (VSS) mechanism of ultra-thin SiNWs by means of chemical vapor deposition using:A) Pd nanocolloids:To circumvent a high temperature annealing step in order to crack a deposited metal film into particles, we utilized colloids for SiNW catalysis. Metallic colloids are usually stabilized with an organic shell to prevent clustering after colloid fabrication. One group of Pd colloids with an average diameter of 4 nm were stabilized by trioctylphosphine in an organic solution. The other group was stabilized by citrate in an aqueous solution with an average diameter of 13 nm. In the experiments only the latter group induced a one-to-one-colloid-to-SiNW growth with SiNW diameters averaging 15 nm. We demonstrated that the growth of SiNWs was catalyzed by a dipalladium silicide supersaturated with Si. Morphological analysis showed epitaxy of the SiNWs with the substrate and a high density of stacking faults.B) Solid Ag particles:The experiments were performed far below the eutectic temperature as well. No high temperature annealing step was needed as Ag particles were deposited onto the Si substrate insitu the ultra high vacuum setup. We could show that the nanowires were grown epitaxially, were mostly single-crystalline, and had diameters of about 10 nm. The preferred growth direction was identified with <110>. We furthermore confirmed an effective method to remove the Ag catalyst remnants by wet etching with potassium ferricyanide and sodium thiosulfate. Only very few experiments on low temperate growth of Ag catalyzed SiNWs were reported so far, as older Ag-Si phase diagrams indicate the impossibility VSS growth. However, a recently revised version of the Ag-Si phase diagram [2] explains our results consistently.[1]H. Okamoto, J. Phase. Equilib. Diff., 2007, 28, 231.[2]L. Weber, Metall. Mater. Trans. A-Phys. Metall. Mater. Sci., 2002, 33, 1145.
9:45 AM - P5.2
Fundamental Understanding of Gold Catalyzed Silicon Nanowire Growth from First Principles-based Atomistic Modeling.
Soo-Hwan Lee 1 , Gyeong Hwang 1
1 Department of Chemical Engineering, University of Texas at Austin, Austin, Texas, United States
Show AbstractThe ability to control the growth and structure of Si nanowires on the atomic scale makes them attractive for a variety of noble applications in electronics, optoelectronics, and sensors. Previous studies have suggested mechanisms underlying the metal catalyzed growth of Si nanowires, involving Si diffusion into a metal catalyst, eutectic Si-catalyst alloy formation, and Si precipitation at the catalyst-nanowire interface. However, many fundamental aspects regarding how synthesis conditions influence the nanowire growth and structure are still uncertain. In this presentation, based on first principles-based atomistic modeling we will focus on addressing the formation of silicon precipitates in a gold particle, the Si precipitation at the Si-AuSi interface, and the oxidation of AuSi alloys. Our simulation results clearly demonstrate that silicon atoms preferentially remain near the gold particle surface, indicating that silicon clusters may form and grow in the surface region. The underlying reasons for the surface segregation of silicon will be discussed. We have also determined the interface structure and energetics between gold and silicon with different orientations such as <111>, <110>, <211>, and <100>. The results provide good insight into the preferred growth of silicon nanowires in the <111> direction. We will also discuss mechanisms underlying the oxidation of AuSi alloys and the behavior of dopant atoms such as B and P in the AuSi droplet.
10:00 AM - P5.3
Catalyst Oxidation for Silicon Nanowire Growth.
Vincent Renard 1 , Michael Jublot 1 , Peter Cherns 1 , Patrice Gergaud 1 , Denis Rouchon 1 , Amal Chabli 1 , Vincent Jousseaume 1
1 , CEA/Leti-Minatec, Grenoble France
Show AbstractThe lack of a CMOS compatible synthesis catalogue prevents successful transfer to industry of potential applications of silicon nanowires (NW). Here, Gold and high temperatures are prohibited. Unfortunately, growing nanowires with Copper a CMOS friendly catalyst at low temperature is in general not possible with standard Vapour-liquid-Solid mechanism. Indeed, this metal form an eutectic with silicon only at elevated temperatures. It was very recently discovered that metal catalysts may remain in the solid state during growth allowing for the so-called Vapour-Solid-Solid growth (VSS) [1-4]. Soon after this discovery, diffusion during incubation (catalyst preparation) has been identified as setting a fundamental lower limit on the VSS growth temperature using Copper (T>500°C) [5]. We will present our recent findings that this limitation can be circumvented by chemical activation[6]. Our approach is based on oxidation of the catalyst before growth. It is opposite to common practice since the presence of oxygen is usually thought of as poisoning the growth. On the contrary, taking advantage of the high reactivity of cuprous oxide, it circumvents the limitations when incubation relies on the diffusion of silicon in copper. [1] T. I., Kamins, Stanley et al, J. Appl. Phys. 89, (2001)1008-1016 [2] Y. Wang et al, Nature Nanotech. 1, (2006) 186-189[3] Y. Yao, S. Fan, Materials Letters 61, (2007) 177–181[4]. J. Arbiol et al, Nanotechnology 18, (2007) 305606[5] B. Kalache, P.R. Cabarrocas, A. F. Morral, Jpn. J. Appl. Phys., Part 2 45 (2006) L190[6] V. T. Renard et al Nature Nanotechnology 4, 654 (2009)
10:15 AM - P5.4
Fabrication and Characterization of Large (>10µm) Diameter VLS Si Microwire Arrays from Electrodeposited Catalysts.
Emily Warren 1 , Jessie Ku 2 , Shannon Boettcher 1 , Josh Spurgeon 1 , Michael Kelzenberg 2 , Harry Atwater 2 , Nathan Lewis 1
1 Division of Chemistry and Chemical Engineering, California Institute of Technology, Pasadena, California, United States, 2 Division of Engineering and Applied Science, California Institute of Technology, Pasadena, California, United States
Show AbstractSilicon wire arrays grown by the vapor-liquid-solid (VLS) mechanism are a promising material for the creation of low cost and efficient photovoltaic and photoelectrochemical devices. A radial junction geometry decouples the direction of light absorption and carrier collection, allowing inexpensive materials with short diffusion lengths to efficiently convert photons to do useful work. Wire diameter and pitch are critical factors which determine the attainable voltage of a radial junction since the dark current is proportional to the increased surface area of the wire arrays. We have developed a fabrication process using electrodeposited Cu catalysts to grow arrays of VLS Si microwires with tunable diameter. Electrodepositing the catalyst material increases the versatility of array geometries that can be fabricated, and is a much more scaleable technology than evaporation and lift-off of the metal catalyst. To design an efficient device, the increased ability to collect carriers from the radial geometry must be balanced against the attainable voltage. Our group has recently measured minority carrier diffusion lengths >10µm in Cu-catalyzed VLS Si microwires grown from SiCl4 with diameters of ~2 µm. Modeling suggests that wire radius should be on the order of the diffusion length to maximize both the current and voltage of a radial junction, which motivates an investigation of large (>10 µm) diameter wire arrays. The Cu catalyst was electrodeposited from a dilute solution of CuSO4 and H2SO4 onto p+ Si with a thermal oxide layer patterned by photolithography and HF etching. Since the pattern of metal deposition is controlled by the electrically active p+ Si and not the photoresist, the diameters of the catalyst islands, and hence the wires, can be controlled by varying the etch time of the thermal oxide. Wires with diameters ranging from 1 to 15 µm and pitches ranging from 7 to 30 µm were grown in a mixture of H2, SiCl4, and BCl3 at 1000°C and atmospheric pressure as reported previously. The arrays were electrochemically characterized using a methyl viologen (MV)2+/+ redox couple in water which produces a conformal large barrier height contact to p-Si. Open circuit voltages and quantum efficiencies were studied over a range of wire diameters and pitches. 10 µm diameter wires with a length of 100µm and a filling fraction of 0.1 produced open circuit voltages of 0.42 ± 0.03 V and maximum external quantum yields of 0.26 ± 0.08 at 6 0mW cm-2 808 nm illumination. In addition the effect of electrodepositing vs. evaporating the VLS catalyst was studied using ICPMS elemental analysis. Our results demonstrate that highly ordered wire arrays with good electrical properties can be grown without any high vacuum processing, and provide a tool to systematically study the effect of increased surface area for wire array solar cells. The relationship between wire diameter, open circuit voltage, and light absorption will be discussed in detail.
10:30 AM - P5.5
SiGe Nanowires Grown by LPCVD: Morphological and Structural Analysis.
Andres Rodriguez 1 , Jesus Sangrador 1 , Tomas Rodriguez 1 , Carmen Ballesteros 2 , Angel Carmelo Prieto 3 , Jimenez Juan 3
1 Tecnología Electrónica, ETSI de Telecomunicación, Universidad Politécnica de Madrid, Madrid, Madrid, Spain, 2 Física, EPS, Universidad Carlos III, Leganés, Madrid, Spain, 3 GdS Optronlab, Edificio I+D, Universidad de Valladolid, Valladolid, Valladiolid, Spain
Show AbstractSiGe nanowires (NWs) present high interest since their band gap can be modulated within the wire, allowing their electronic properties being tailored over a wide range. In spite of the potential relevance of these alloyed NWs, their growth is still not well controlled; therefore, one needs to explore ways of improvement, together with characterization methods allowing to supplying the necessary data about the main morphologic, structural and composition features of the NWs. In this work, SiGe nanowires were grown by the VLS method using a LPCVD reactor. Si wafers with 3 nm thick Au films deposited on top by thermal evaporation were used as substrates. An annealing step at 500 °C for 1 hour was carried out in H2 atmosphere (400 mTorr, 100 sccm) inside the LPCVD reactor to break the Au film allowing Au and Si to alloy forming a distribution of Au-Si drops on the Si surface. During the subsequent growth process, carried out at 400 °C for 30 minutes, Si2H6 and GeH4 were used as precursor gases for Si and Ge with flows ranging from 2 to 20 sccm for the GeH4 and from 8 to 2 sccm for the Si2H6, in such way that the GeH4:Si2H6 flow ratio (F) was varied from 0.25 to 10. The flow of H2 was changed from run to run to achieve a total flow of 100 sccm and the total pressure was kept constant at 400 mTorr. The morphological features, the average length and diameter and the density of the NWs were studied by Scanning Electron Microscopy. As F increases, the density of NWs increases from 106 cm-2 to 108 cm-2. For F < 5 the NWs are straight and cylindrical in shape, with diameters increasing from 30 to 50 nm as the flow ratio does and their average length is around 4 micrometers in all cases but with a large dispersion. For F ≥ 5 the NWs are shorter, less than 1 micrometer in length, tapered, with a diameter at the base of around 70 nm, and their outer surface is rough. In the case of F = 10, the highest flow ratio considered, the NWs are curled up into small balls. The internal structure and defects of the NWs grown with F < 5 were analyzed by Transmission Electron Microscopy. Dark contrast areas were observed in both low resolution TEM images and Z-contrast STEM images. HREM images show that this dark contrast is related to densely packed planes of the diamond structure oriented with respect to the electron beam. EDX mappings associated to the Z-contrast images indicate that the Ge fraction increases with the flow ratio up to a value of x = 0.45, but do not give a clear indication of compositional differences along the nanowires. MicroRaman maps were carried out in order to study the composition of the NWs and its axial homogeneity. The Raman data suggests that the Ge fraction increases from the base to the top of the NWs.
10:45 AM - P5.6
The Benefits of HCl in the Growth of Silicon Nanowires by Chemical Vapour Deposition: Growth of Small Diameter Nanowires and Controlled Facet Evolution.
Fabrice Oehler 1 , Pascal Gentile 1 , Thierry Baron 2 , Pierre Ferret 3 , Martien Den Hertog 1 , Jean-Luc Rouviere 1
1 INAC, CEA, Grenoble France, 2 LTM, CNRS, Grenoble France, 3 LETI, CEA, Grenoble France
Show AbstractDuring the past ten years, semiconducting nanowires have been the subject of intensive research projects for the future 1D electronic or the next generation of solar cells. However to succeed as the cornerstone of high frequency FET or multi-jonction cells, the dimensions and the electronic properties of the wires must be tightly controlled. As nanowires present a high surface to volume ratio, both the volume and the surface contributes to the electronic properties. Surface control is therefore of great importance. In the case of silicon nanowires (SiNWs), the Chemical Vapour Deposition (CVD) technique has shown interesting results, mostly using gold as the liquid catalyst. However the wire surface is often polluted by gold clusters, metallic impurities that diffuse from the main catalyst. The wire surface also presents uncontrolled facets which are potential scatterers for the carriers. Another interesting challenge is the controlled growth of small diameter SiNWs. These structures potentially exhibit quantum effects could find applications both in nanoelectronics or photovoltaics. Most of the time, this type of growth is not trivial and requires specific experimental conditions that can affect the structural quality (low temperature and high silane partial pressure). We present a unique method to control both the surface state and the low diameter growth by adding a reactive gas in the gas phase.At 650°C, 20 mbar Hydrogen and 0.1 mbar Silane, SiNWs larger than 70 nm diameter are typically obtained. Smaller diameter nanowires do not grow or present catalyst poisoning. By the sole addition of HCl, without modifying other experimental parameters, we are able to grow SiNWs free of structural issues down to 20 nm diameter. This reduction of the minimum wire diameter is linked to the surface chlorination that takes place on the substrate in the presence of HCl. This chlorination reduces the gold surface migration which is found to be the main limiting parameter for the growth of small diameter SiNWs in our experimental conditions[1]. The chlorination also takes places on the sidewalls of the wire. On this surface it changes both the lateral growth speed and the gold migration. Hence using HCl prevents the diffusion of Au on the NWs sidewalls and eliminates or limits the gold cluster formation. The variation of HCl partial pressure also probes the lateral growth speed. Depending on the experimental conditions, wires with 12, 6 or 3 facets are obtained. Hybrid wires, which present several types of cross-section, are investigated. Both the cross-section shape and the facets orientations are found to evolve with the amount of deposited Si on the sidewalls. The use of HCl reduces here the non-catalytic lateral growth speed, which hinders the facet evolution and produces wires with smooth sidewalls. It provides a robust method for growing nanowires with a reproducible and controlled shape.[1] F. Oehler et al, Nanotechnology 2009, 20 475307
P6: Si and Ge Nanowire Devices I
Session Chairs
Wednesday PM, April 07, 2010
Room 2016 (Moscone West)
11:30 AM - **P6.1
Silicon Nanowires – Growth Conditions, Doping Strategies and Critical Processing Steps for Tunnel Field Effect Transistors.
Mikael Bjoerk 1 , K. Moselund 1 , H. Schmid 1 , H. Ghoneim 1 , S. Karg 1 , W. Riess 1 , H. Riel 1
1 , IBM Research Zurich, Ruschlikon Switzerland
Show AbstractThe reliable operation of electronic devices depends significantly on the ability to precisely control material and interface properties. While commercially available materials and fabrication processes allow an astonishing level of control, bottom-up approaches are still at its infancy. Here we review our efforts on the synthesis, processing, design and characteristics of various silicon nanowire (SiNW) based FETs.Lithographically defined as well as solution synthesized Au particles are the most reliable seeds for SiNW growth. Optimization of temperature and silicon supply allows a vertical epitaxial yield of SiNWs exceeding 60%. We show that the ubiquitous presence of Au on epitaxial SiNWs can result in several chemical side reactions during further processing steps that impede device fabrication. Enhanced oxidation and galvanic displacement reactions can be suppressed by efficiently removing Au. In addition, at nanoscale dimensions, the etch rate of Si in HF-based solutions can not be neglected. We review our results on in-situ doping and doping limits for both n- and p-type doping and present results on solid source doping using boron and phosphorus doped SiOx. It is also shown that the free carrier density in nanoscale semiconductor wires is size dependent due to a reduction in the amount of ionized impurities.Incorporating the above processes, we fabricated all-silicon tunnel FETs using in-situ doping. The tunnel FETs show state-of-the-art performance with an average inverse sub-threshold slope of 120mV/dec., which is a strong indication of an abrupt doping profile and is in agreement with our work on dopant profiling using off-axis electron holography. Finally, steps for further performance increase will be discussed.
12:00 PM - P6.2
Quantitative Two-dimensional Carrier Mapping in Silicon Nanowire-based Tunnel-field Effect Transistors With Sub-3nm Resolution.
Andreas Schulze 1 2 , Thomas Hantschel 1 , Pierre Eyben 1 , Anne Vandooren 1 , Rita Rooyackers 1 , Jay Mody 1 2 , Anne Verhulst 1 , Wilfried Vandervorst 1 2
1 , IMEC, Leuven Belgium, 2 Dept. of Physics and Astronomy, KU Leuven, Leuven Belgium
Show AbstractSemiconductor nanowires are one of the most promising building blocks for future nanoelectronic devices. Due to reduced short channel effects and no limitation of the subthreshold-swing to 60mV/dec, nanowire-based tunnel-field effect transistors (TFETs) are potential successors of standard MOSFETs [1,2]. However, a major challenge is the controlled doping of the source and drain regions. To support the development of adequate doping processes, various techniques for the quantitative analysis of the dopant distribution in semiconductor nanowires are currently being investigated. While atom probe tomography can map the 3D distribution of dopant atoms, it does not reveal any information about their electrical activation [3,4]. On the other hand, techniques used at present to determine carrier profiles inside nanowires show low sensitivity or insufficient spatial resolution [5-8]. By contrast, high-vacuum scanning spreading resistance microscopy (HV-SSRM) has shown the capability of measuring 2D carrier concentration maps with sub-3nm resolution and high sensitivity in planar MOS structures [9]. First measurements have demonstrated that SSRM is also a promising technique for the characterization of Si nanowires [10]. In this work, we demonstrate for the first time the quantitative analysis of the 2D carrier distribution in Si nanowire-based TFETs with sub-3nm resolution using HV-SSRM. The device structures were fabricated by a top-down approach. The gate electrode consists of a wrap around high-k/metal gate configuration. The top section of the nanowires were doped using ion implantation (BF2, 3E15 ions/cm2, 5keV, 4Q) at 45° and spike annealing (1050°C) [11]. Mapping the local resistivity by HV-SSRM and a subsequent quantification allows us to obtain 2D carrier profiles for different nanowire diameters down to 50nm. Besides measuring the carrier concentration, we gain insight in critical device parameters like carrier distribution conformality, junction abruptness and gate overlap. These results deliver significant input for the process development of nanowire-based TFETs. [1] A.S. Verhulst et al., IEEE Elec. Dev. Lett. 29, 1398 (2008).[2] Z.X. Chen et al., IEEE Elec. Dev. Lett. 30, 754 (2009).[3] D.E. Perea et al., Nature Nanotechnology, 4, 315 (2009).[4] R.A. Schlitz et al., Applied Physics Letters, 95, 162101 (2009).[5] J.E. Allen et al., Advanced Materials, 21, 1 (2009). [6] M. I. den Hertog et al., Nano Letters, DOI: 10.1021/nl902024h (2009).[7] E.C. Garnett et al., Nature Nanotechnology, 4, 311 (2009).[8] E. Koren et al., Applied Physics Letters, 95, 092105 (2009).[9] P. De Wolf et al., Applied Physics Letters, 66, 1530 (1995).[10] T. Hantschel et al., Mater. Res. Soc. Symp. Proc. Vol. 1178, 1178-AA05-03 (2009).[11] A. Vandooren et al., Proc. Silicon Nanoelectronic Workshop, 21, (2009).
12:15 PM - P6.3
Electrical Characterization of Axially-doped Silicon Nanowire p-n Junctions Grown by the Vapor Liquid Solid Technique.
Aaron Vallett 1 , Sharis Minassian 2 , Huichu Liu 1 , Suman Datta 1 , Joan Redwing 2 1 , Theresa Mayer 1
1 Electrical Engineering, Pennsylvania State University, University Park, Pennsylvania, United States, 2 Materials Science and Engineering, Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThe growth of axially-doped silicon nanowire p-n junctions by the vapor liquid solid (VLS) technique has received considerable attention for advanced nanoelectronic devices such as one-dimensional MOSFETs and tunnel transistors. However, questions remain regarding the ability to accurately control the doping concentration on both sides of the junction as well as the junction abruptness, which are critical parameters in the operation of these devices. In this work, silicon nanowires with a nominal diameter of 60 nm were synthesized from Au nanoparticles using silane (SiH4) as the source gas. Axial doping was accomplished by abruptly switching the dopant gas from trimethylboron (TMB), the p-type dopant source, to phosphine (PH3), the n-type dopant source, during VLS growth. The dopant gas to SiH4 flow ratios used to grow the p+ and n+ segments correspond to resistivities of ~6x10-2 (TMB) and ~4x10-3 (PH3) Ω-cm, respectively. Following growth, the silicon nanowires were passivated by an ~4nm SiO2 shell grown by thermal-oxidation at 800°C for 15 minutes in dry O2. Individual silicon nanowires were integrated into globally-back-gated two-contact and multiple-contact test structures for electrical characterization. Current-voltage (I-V) measurements across the p+-n+ junctions showed clear rectifying characteristics with a turn-on voltage of ~0.7 V and an ideality factor of ~2. The forward-bias currents were in the 10 to 100 nA range at 1V, while reverse-bias currents were in the fA range. The doping type and relative doping level along the length of the nanowires was studied by scanning capacitance microscopy (SCM) and also using the multiple-contact electrical test structure. The SCM measurement revealed a heavily-doped n+ segment and a less heavily doped p segment at the two ends of the nanowire, consistent with electrical measurements of uniformly-doped SiNWs. Although the dopant gas was switched abruptly between the p and n segments, the SCM showed an ~4 µm long n- segment between the uniformly-doped n+ and p end segments, with an abrupt junction between the n- and n+ segments. This axial variation in doping type and concentration was also confirmed by global back-gated I-V measurements at 1 µm increments along the nanowire. Using the global back-gate, it was possible to invert the n- segment to p+, enabling gate-controlled band-to-band reverse-biased diode tunneling current from the p+ valence band to the n+ conduction band. Although the source of the graded doping profile is not well understood at this time, these results show that the VLS technique can be used to produce abrupt junctions that allow characterization of band-to-band tunneling in silicon nanowires.
12:30 PM - P6.4
Effect of Material Choice on the Interband Tunneling Currents in Nanowire and CNT Band-to-Band Tunneling Transistors.
Roger Lake 1 , Md. Abul Khayer 1
1 Electrical Engineering, University of California Riverside, Riverside, California, United States
Show AbstractThe use of nonequilibrium carriers, in particular, cold carrier injection, may be a key enabler to extending the ITRS roadmap. The prototypical device which exploits cold carrier injection is the band-to-band tunneling field effect transistor. To fully exploit the concept, extremely tight control of the potential in the gate region is required. The tightest control is achieved in the quantum capacitance limit. This limit is accessible to semiconductor nanowires (NWs) and carbon nanotubes (CNTs). Because the current is limited by interband tunneling, adequate drive current has been an ongoing issue for these devices. Therefore, we have developed a zero-order semi-analytical approach for determining the tunnel current as a function of material, diameter, and electric field. The approach is based on calculating the imaginary wavevector versus energy relation throughout the bandgap using full-band models. The approach allows one to perform a quick, preliminary evaluation of a large design-space to narrow down the possibilities for more in-depth modeling and analysis or designing split lots for empirical testing. The approach is used to compare the tunneling currents in InP, InAs, InSb nanowires and CNTs as a function of diameter and electric field. It is found that for the same electric field and bandgap, the interband tunneling current in CNTs is 10 times larger than that of the InAs or InSb NWs.
12:45 PM - P6.5
High Performance Single Nanowire Tunnel Diodes.
Jesper Wallentin 1 , Johan Persson 2 , Jakob Wagner 2 , Knut Deppert 1 , Lars Samuelson 1 , Magnus Borgstrom 1
1 Solid State Physics, Lund University, Lund Sweden, 2 Center for Electron Nanoscopy, Technical University of Denmark, Kgs. Lyngby Denmark
Show AbstractSemiconductor nanowires (NWs) have emerged as a promising technology for future electronic and optoelectronic devices. Epitaxial growth of III-V materials on Si substrates have been demonstrated, allowing for low-cost production. As the lattice matching requirements are much less strict than for planar growth, many new materials combinations can be grown in a single NW. This opens up exciting opportunities for NW-based high-performance solar cells, where previously inaccessible materials combinations can now be chosen to match the solar spectrum. A key component of a multi-junction solar cell is the tunnel (Esaki) diode, which provides a low-resistance connection between junctions. We demonstrate an InP-GaAs NW axial heterostructure with tunnel diode behavior. InP and GaAs can be readily n- and p-doped, respectively, and the heterointerface is expected to have an advantageous type II band alignment. We intend to exploit this structure for the InAsP-GaAsP materials system, which is tunable in bandgaps from 0.4 eV to 1.9 eV. The NWs were grown using the Vapor-Liquid-Solid (VLS) technique in MOCVD, using H2S for n-doping of InP and DEZn for p-doping of GaAs. For electrical evaluation, individual NWs were contacted in a NW-FET setup. Electrical measurements at room temperature display typical tunnel diode behavior, with a Peak-to-Valley Current Ratio (PVCR) as high as 8.2 and a peak current density as high as 329 A/cm2. Low temperature measurements show improved PVCR of up to 27.6
P7: Growth of Si and Ge Nanowires II
Session Chairs
Wednesday PM, April 07, 2010
Room 2016 (Moscone West)
2:30 PM - P7.1
Growth, Structure and Electronic Properties of Sn Seeded, PECVD Grown, Si Nanowires.
Somilkumar Rathi 1 , Jeremy Fields 1 , Bhavin Jariwala 1 , Joseph Beach 1 , Sumit Agarwal 1 , Pauls Stradins 1 2 , P.Craig Taylor 1 , Reuben Collins 1
1 , Colorado School of Mines, Golden , Colorado, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractIn advanced photovoltaic, electronic, sensing, and optical device applications, semiconductor nanowire (NW) arrays offer the potential for tunable properties through radial quantum confinement effects and large surface to volume ratios while simultaneously maintaining a topology consistent with efficient carrier transport and collection. Amongst the different group IV NW growth techniques, CVD-based, metal catalyzed vapor-liquid-solid (VLS) growth has received considerable attention with Au as the most commonly studied seed metal. Au, however, can limit minority carrier lifetimes in Si. Similarly, lowering growth temperature below the Au-Si eutectic temperature is an important goal both for cost and substrate selection. A possible approach to address these issues is through the use of an amphoteric metal seed catalyst with a lower melting point, such as Sn, also a column IV element, combined with plasma-enhanced growth to further lower the process temperature. Here we report systematic study of plasma enhanced chemical vapor deposition (PECVD) growth of Si NWs from SiH4 using Sn as the metal catalyst. Sn nano-island seed layers were prepared on Si and glass substrates from metal nanoparticles and by thermal evaporation and direct decomposition of SnO2 in hydrogen plasma. Anneals of the seed layers under NW growth conditions are shown to impact seed morphology and, hence, affect the dimensional properties of subsequently grown NWs. Sn seeded wires are thicker, up to 200 nm, and exhibit much less taper than Au seeded wires grown under identical conditions. For Sn seeds, NW growth is also observed at temperatures approaching the Sn-Si eutectic of 232 °C. Systematic studies of the NW diameter as a function of the process variables are reported. In general, lower pressure and greater hydrogen dilution result in narrower wires but also lower growth rates. Transmission electron microscopy and Raman spectroscopy confirm that NWs are largely crystalline with some amorphous phase. Electron spin resonance (ESR) spectra exhibit paramagnetic defect states consistent with amorphous Si but also exhibit an additional defect which is potentially associated with the crystalline Si. Minority carrier lifetime and photoluminescence measurements were also performed and will be discussed in the context of surface passivation of the NWs. *Support of the NSF Renewable Energy Materials Research Science and Engineering Center and Center for Revolutionary Solar Photoconversion is gratefully acknowledged.
2:45 PM - P7.2
Epitaxial Growth of Highly p-doped Si Nanowires by Al Catalyzed Vapor-liquid-solid Growth.
Yue Ke 1 , Xiaojun Weng 1 , Joan Redwing 1 , Chad Eichfeld 1 , Thomas Swisher 1 , Suzanne Mohney 1 , Youssef Habib 2
1 Material Science and Engineering, Penn State University, State College, Pennsylvania, United States, 2 , Illuminex Corporation, Lancaster, Pennsylvania, United States
Show AbstractMetal atom incorporation in Si is believed to occur during the vapor-liquid-solid (VLS) growth of Si nanowires (NW) using metal catalysts. While used as the most popular VLS catalyst, Au forms deep level states within the bandgap of Si, which are undesirable for photovoltaic devices that are dependent on minority carrier transport. Alternative metal catalysts are therefore desired. Al is a good choice since it can serve as both a catalyst and p-type dopant for Si NW growth.In this work, we report an effort to grow Si NWs using Al as an alternative catalyst. The Al-Si binary diagram is similar to Au-Si with a higher eutectic point (577 oC vs. 363 oC). The relatively low eutectic temperature of Al-Si makes VLS growth of Si NW possible using SiH4. Prior studies of Al-catalyzed Si NW growth have focused on low temperature, ultra-high vacuum conditions where the Al catalyst is believed to be in a solid state [1]. While high quality Si NWs were obtained, the low growth rate (~20 nm/min) is undesirable for the fabrication of devices, particularly solar cells. Our studies have focused instead on the growth of Si NWs by low pressure chemical vapor deposition (LPCVD) at higher temperatures where the Al-Si is likely in a liquid state so that a higher growth rates can be achieved.The growth substrates were consisted of (111) Si wafers with a 10 nm Al film coating. Si NW growth was studied over a temperature range from 525 to 600 oC with reactor pressures between ~30 and 600 Torr. Under these conditions, epitaxially-oriented Si NWs were obtained with diameters of 100-400 nm at growth rates greater than 1 µm/min. Transmission electron microscope (TEM) was used to study the structural properties of NWs. Chemical analysis was also carried out by X-ray energy dispersive spectroscopy (XEDS) in the scanning TEM mode. TEM studies revealed that the Si NWs are single crystal with a predominant <111> growth direction. An Al metal particle is at the tip of the Si NWs with a distinct interface. Preliminary four point probe measurements indicated NW resistivity of about 0.05 Ω-cm, which suggests an Al concentration on the order of 1018 cm-3 (neglecting any surface depletion, assuming a mobility of 65 cm2 V-1 s-1 from Al-mediated solid phase epitaxy of Si films [2], and complete ionization of acceptors). The measured resistivity is much lower than that (104-105 Ω-cm) of nominally undoped Au catalyzed Si NWs. The estimated Al concentration is close to the values in the mid-1018 cm-3 range extrapolated from a solubility diagram for Al-Si at 550 oC [3]. These results demonstrate that Al-catalyzed Si NW growth is a practical and promising approach to obtain high aspect ratio, single crystal p-type Si NWs for photovoltaic applications.[1] Y. Wang, V. Schmidt, S. Senz and U. Gösele, Nature Nanotechnology 1, p. 186 (2006)[2] G. Majni and G. Ottaviani, Applied Physics Letters 31, p. 125 (1977)[3] F. A. Trumbore, Bell Systems Technical Journal 39, p. 205 (1960)
3:00 PM - P7.3
Elimination of Au Diffusion in Ge-based Core/Shell Nanowires for High Performance Photodetectors and FETs.
Shadi Dayeh 1 , Ian Campbell 2 , Jianyu Huang 3 , Aaron Gin 3 , S. Picraux 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 Material Physics and Applications, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 3 Center for Integrated Nanotechnologies, Sandia National Laboratory, Albuquerque, New Mexico, United States
Show AbstractIn addition to the low dimensionality of semiconductor nanowires (NWs), the possibility of modulating alloy and composition in the radial direction have led to an intense interest to realize new device architectures. These novel materials and devices, however, cannot be achieved unless several obstacles currently present in the synthesis of radially engineered nanowires are resolved. At the foremost of these obstacles is Au diffusion during precursor switching for shell growth over Ge NW cores which leads to abundance of recombination traps, rough core/shell morphology, random NW kinking, and side-whisker growth. In this contribution, we solve the Au diffusion problem in-situ and without oxygen contamination for Ge/Ge and Ge/Si core/shell NWs resulting in excellent surface morphology for all diameters. Au diffusion, which we show to be energetically favorable for small diameters and on Ge facets at moderate temperatures is solved by utilizing the catalytic effect of the Au nanoparticle to deposit a thin Si barrier layer between the Ge NW and the Au growth seed. The Si interfacial layer stabilizes the Au seed atop at temperatures suitable for shell growth which prevents Au diffusion from proceeding to the Ge NW sidewalls and thus resulting in excellent Ge/Ge and Ge/Si core/shell NW growth. Our results enable the growth and realization of controlled majority (FETs) and minority (IR detectors) carrier devices that benefit, respectively, from reduced interface roughness scattering and reduced Au/rough surface trap recombination in these devices.
3:15 PM - P7.4
Seedless Growth of Sub-10 nm Diameter Ge Nanowires.
Richard Hobbs 1 2 , Sven Barth 1 2 , Nikolay Petkov 3 , Michaela Zirngast 4 , Christoph Marschner 4 , Justin Holmes 1 2
1 Materials and Supercritical Fluids Group, Department of Chemistry, and the Tyndall National Institute, University College Cork, Cork Ireland, 2 Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2 Ireland, 3 Electron Microscopy and Analysis Facility (EMAF), Tyndall National Institute, Lee Maltings, Prospect Row, Cork Ireland, 4 , Institut für Anorganische Chemie der Technischen Universität Graz, Stremayrgasse 16, A-8010 Graz Austria
Show AbstractBottom-up grown semiconductor nanowires are a promising route toward future miniaturisation of microelectronic devices.[1] Nanowires grown by this approach can show superior structural perfection relative to their top-down produced counterparts. In addition, bottom-up grown nanowires can be produced at lower cost than single-crystal wafer materials used in lithography-based device fabrication. Conventionally, bottom-up grown Ge and Si nanowires rely on catalytic seed metals to initiate and sustain nanowire growth. However, seed metals such as gold can have many drawbacks, preventing their use on an industrial scale.[2] Herein, we report the self-seeded growth of single-crystalline Ge nanowires, with a mean diameter as small as 6 nm without the need for a metal catalyst. The nanowires, synthesised in supercritical toluene and in solution, using the purpose-built precursor hexakis(trimethylsilyl)digermane, exhibit high aspect ratios (> 1000) whilst maintaining a uniform diameter along their length. The nanowires synthesised, are encased in an amorphous shell of material derived from the precursor, which acts to passivate the nanowire surface and to separate the Ge seed particles from which the nanowires grow. The diameter of the nanowires generated depends on the synthesis temperature employed. Specifically, there is an inverse relationship between the radius of the Ge nanowires and the synthesis temperature, which can be explained by a model for the size-dependent melting temperature of cubic Ge. This relationship suggests that a liquid phase of Ge is involved in nanowire growth.A study of the early stages of nanowire growth using transmission electron microscopy (TEM) revealed that crystalline Ge nanoparticles exist as an intermediate phase between precursor decomposition and nanowire formation. The presence of this intermediate phase coupled with the observed temperature dependence of the Ge nanowire diameters indicates that an unconventional nanowire growth mechanism underpins this present work.[3][1]International Technology Roadmap for Semiconductors, 2007 Executive Summary http://www.itrs.net/.[2]Volker, S.; Joerg, V. W.; Stephan, S.; Ulrich, G. Adv. Mater. 2009, 21, 2681.[3]Hobbs, R. G.; Barth, S.; Petkov, N.; Zirngast, M.; Marschner, C.; Holmes, J. D. Submitted.
3:30 PM - P7.5
Catalyst Phase Changes and Ledge-flow Controlled Interface Dynamics for Sub-eutectic Ge Nanowire Growth.
Andrew Gamalski 1 , Renu Sharma 2 , See-Wee Chee 2 , Caterina Ducati 3 , Stephan Hofmann 1
1 Dep. of Engineering, University of Cambridge, Cambridge United Kingdom, 2 LeRoy Eyring Center for Solid State Science, Arizona State University, Tempe, Arizona, United States, 3 Dep. of Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom
Show AbstractThe integration of bottom-up assembled semiconductor nanowires in future nano/opto-electronic devices requires a detailed understanding of their growth mechanisms. We present a video-rate, environmental transmission electron microscopy study of Au catalyzed Ge nanowire nucleation and growth under digermane exposure in the temperature range of 240-340°C [1]. We focus on catalyst particle phase changes during the initial nucleation stage, and the nucleation and flow of steps at the catalyst-nanowire interface [2]. Thermodynamic modeling gives detailed physical insight into the observed Ge nanowire growth kinetics. [1] A. Gamalski et al, submitted (2009)[2] S Hofmann et al, Nature Materials 7, 372 (2008)
3:45 PM - P7.6
Homogeneous and Heterogeneous Nucleation of Epitaxial Ni and Co Silicides in Nanowires of Si.
Yi-Chia Chou 1 , Wen-Wei Wu 2 , Lih-Juann Chen 3 , King-Ning Tu 1
1 , University of California, Los Angeles, Los Angeles, California, United States, 2 , National Chiao Tung University, Hsinchu Taiwan, 3 , National Tsing Hua University, Hsinchu Taiwan
Show AbstractUsing in-situ high resolution transmission electron microscopy (HRTEM), we observe both homogeneous and heterogeneous nucleations during silicidation of Si nanowires. The CoSi2, NiSi and NiSi2 silicides undergo an axial epitaxial growth in the Si nanowires and a stepwise growth mode is found to occur repeatedly in the form of an atomic step sweeping across the silicide/Si interface. It appears that the growth of a new silicide layer requires an independent event of nucleation. Heterogeneous nucleation of nanoscale silicide formation occurs at the intersection where the step meets the vertical interfaces, whereas homogeneous nucleation occurs on the single interface. From the video-rate TEM data, in combination with theoretical modeling, we determine the incubation time and the critical supersaturation required for nucleation and the calculated and measured nucleation rates are in good agreement. We attribute the existence of homogeneous nucleation, a phenomenon that is rare except in theory, to micro-reversibility in nanowires. Incubation time of heterogeneous nucleation of NiSi2 has been measured by high resolution video to be much shorter than that of homogeneous nucleation. Kinetic analysis of both types of nucleation is presented for a direct comparison.
P8: Si and Ge Nanowire Devices II
Session Chairs
Wednesday PM, April 07, 2010
Room 2016 (Moscone West)
4:30 PM - P8.1
Controlling the Shape and Dimensional Variability of Top-down Fabricated Silicon Nanowires by Hydrogen Annealing.
Guy Cohen 1 , Sarunya Bangsaruntip 1 , Tymon Barwicz 1 , Lynne Gignac 1 , Surbhi Mittal 1
1 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractFor short channel MOSFETs, scaling theory dictates that the nanowire (NW) channel diameter be in a range that now approaches the scale of imperfections present in typical top-down processing techniques. Photoresist line edge roughness (LER), line width roughness (LWR) and image-transfer by reactive-ion etching (RIE), can introduce substantial structural variations in NWs defined by top-down methods. Variations in the NW’s dimensions degrade the charge transport and affects threshold voltage. Reducing variability is therefore a key challenge in making NW FETs a viable technology.In this work, we demonstrated the top-down fabrication of NWs with reduced overall variability in LER, LWR and cross-sectional shapes by using a controlled hydrogen annealing. Although the use of hydrogen annealing to reduce LER is well-establish, it also leads to Si agglomeration and NW fragmentation at small dimensions. For the first time, we extended this technique to produce facet-smooth NWs with diameters down to 13 nm. Subsequent oxidation allowed us to further thin the NWs down to 3 nm while maintaining the same LER level.Nanowires were fabricated by patterning thin silicon-on-insulator (SOI) films using e-beam lithography and RIE. Nanowires with different crystal orientations were formed by appropriate rotation of the mask and by the use of (100) and (110) SOI wafers. Nanowires were patterned with and without large SOI pads attached at their ends. Fully suspended NWs were made from the attached structure by etching buried oxide under the NWs, while maintaining the buried oxide under the SOI pads. Various annealing conditions were studied on the fabricated structures by varying temperature in the range of 600 to 1000°C and pressure from 7 torr to 600 torr. The NW structures were characterized by top-down and cross-sectional SEM and TEM.The stability and agglomeration of NWs strongly depends on the crystal orientation of the NW. Nanowires oriented along <110> were found to be the most stable while NWs oriented along <100> were found the least stable. Agglomeration in <100> NWs consisted of forming roughly equally spaced necking points along the NWs, yielding a structure that resembles a standing wave pattern. The agglomeration mechanism may suggest a Raleigh instability.Electrical characteristics were tested by building MOSFETs in which the NWs served as the device channel. Gate-All-Around N- and P-MOSFETs built with <110>-orientated NWs made with this method showed near-ideal sub-threshold Id-Vg characteristics, confirming the good quality of the NWs surfaces.In conclusion, we showed that hydrogen annealing is a useful tool for reducing variability in top-down silicon NWs. Very low LER and LWR were obtained experimentally, helping to meet future technology requirements.
4:45 PM - P8.2
Atomically Sharp Germanium Nanowire-metal Heterostructures for Ω-gated High Performance MOSFETs and Quantum Devices.
Thomas Burchhart 1 , Clemens Zeiner 1 , Alois Lugstein 1 , Jonathan Silvano De Sousa 1 , Juergen Smoliner 1 , Emmerich Bertagnolli 1
1 Institut of solid state electronic, Technical Univercity of Vienna, Vienna Austria
Show AbstractAfter more than four decades of classical integrated electronic circuit technology which followed Moore’s famous law, particularly semiconducting nanowires (NWs) are in the centre of interest as alternative building blocks for metal oxide field effect transistors (MOSFETs) as well as novel quantum devices. For both applications germanium appeared to be a favourable material for two reasons. First, the high carrier mobility enables high performance devices, and second, the large exciton Bohr radius implies, that quantum confinement effects of Ge nanostructures will be more prominent. We synthesized epitaxial Ge nanowires on Si substrates by a low-pressure chemical vapour deposition process using germane as a precursor, and Au as catalyst. Investigations of the morphology and the crystallographic orientations of the as grown NWs and the dependence on the vapor-liquid-solid (VLS) growth parameters temperature and pressure were performed. The effects of substrate orientation and catalyst thickness and the influence of different sample pre-treatments were also investigated. Further we explored a method for copper-germanide/germanium NW heterostructures formation with atomically sharp interfaces. The copper-germanide (Cu3Ge) formation process is enabled by a thermal induced chemical reaction between metallic Cu and the Ge-NWs. The atomic scale aligned formation of the Cu3Ge segments is controlled by in situ SEM monitoring at 310°C thereby enabling length control of the intrinsic Ge-NW down to a few nm. Successive EDX and TEM investigations exhibited the atomic sharp interfaces. The single crystal Cu3Ge/Ge/Cu3Ge heterostructures were used to fabricate Ω-gated Ge-NW field effect transistors with Schottky Cu3Ge source/drain contacts. Temperature dependent I/V measurements revealed the metallic properties of the Cu3Ge contacts with a maximum current carrier density of 5*10^7 A/cm^2. Prior the gate deposition the intrinsic Ge-NW was modified with a focussed Ga ion beam to increase the saturation current by a few orders of magnitude. According to the thermoionic emission theory we determined an effective Schottky barrier height reduction from 218meV to about 115meV due to Ga implantation.
5:00 PM - **P8.3
Epitaxial Ge-SixGe1-x Core-shell Nanowire Heterostructures and High Performance Field Effect Transistors.
Emanuel Tutuc 1 , Junghyo Nah 1 , Kamran Varahramyan 1 , En-Shao Liu 1 , Domingo Ferrer 1 , Sanjay Banerjee 1
1 Electrical and Computer Engineering, University of Texas-Austin, Austin, Texas, United States
Show AbstractSemiconductor nanowires have attracted interest as a platform for aggressively scaled devices, thanks to a reduced short channel effect. Using a combination of vapor-liquid-solid nanowire growth and ultra-high-vacuum chemical vapor deposition conformal growth, we demonstrate the realization of Ge-SiGe core-shell nanowires heterostructures with tunable Si shell content. The tunable shell content enables radial band and strain engineering in these nanowire heterostructures. We present the realization of high performance Ge-SiGe core-shell nanowire field-effect and tunneling field-effect transistors, along with a systematic study of their electronic properties as a function of channel length and temperature. These devices are fabricated using highly doped source and drain realized using low energy ion implantation, which enables efficient carrier injection into the nanowire and a reduced external resistance. We extract key device parameters, such as intrinsic channel resistance, carrier mobility, effective channel length, and external contact resistance, as well as benchmark the device switching speed and ON/OFF current ratio.
5:30 PM - P8.4
First Complementary Inverter Shown without Dopants as Implemented With Silicon Nanowires.
Andre Heinzig 1 , Walter Weber 1 5 , Monika Emmerling 2 , Martin Kamp 2 , Thorsten Roessler 3 , Daniel Grimm 4 , Thomas Mikolajick 1
1 , Namlab gGmbH, Dresden Germany, 5 , Qimonda AG, Munich Germany, 2 Technische Physik, Universitaet Wuerzburg, Wuerzburg Germany, 3 Institut für Halbleiter- und Mikrosystemtechnik (IHM), TU Dresden, Dresden Germany, 4 , Leibniz Institute for Solid State and Materials Research (IFW), Dresden Germany
Show AbstractFor the last 40 years most of the digital electronic applications have been based on complementary logic circuits. To this end, p- and n-type field effect transistors (FET) have been combined to exclusively consume significant power while switching. As the transistor scaling evolves, and sub-35 nm feature sizes will soon be implemented, an accurate and reproducible adjustment of the charge carrier concentration as required for p- and n-FETs has proven to be a difficult task. In this respect an alternative approach to doping that renders complementary digital circuits with low power consumption is presented here for the first time by implementing undoped Si nanowires (NW). Abrupt metal (NiSi2) to semiconductor (Si) heterostructures have been created along the length of a NW, confining the Schottky contact area to the NW's diameter. Local electric field coupling over the nanometer size Schottky contact rendered an accurate control over the charge carrier injection as shown by temperature activation measurements. An improved gate coupling was enabled by the integration of ALD deposited HfO2 and Al2O3 high-k dielectrics and subsequent anneal in forming gas. Double top-gate structures were used to couple independently to each Schottky junction of the FET. Thereby, one Schottky junction was used to block the undesired carriers while the other one tuned the injection of the carriers with the inverse polarity. Consequently, the same transistors could be programmed as p- and n-type FETs depending on the biasing of the individual top-gates. By combining p- and n-type programmed Si NW FETs the first complementary inverter circuits without doping were built. Indeed, the transfer characteristics (input voltage vs. output voltage) showed a clear and steep inversion behavior. Also, time resolved measurements showed stable inverted states over several periods without slope and bit-level degradation. Finally, in order to assess the principal scalability of the Si NW Schottky FETs single gated devices with reduced dimensions of the active region were studied. Successful gate control and saturation of the subthreshold characteristics were achieved for devices with gate lengths as short as 36 nm. Moreover, the effect of scaling different NW diameters ranging from 35 nm down to 7 nm will be presented. In synthesis, these results imply that all types of complementary digital functions can be performed using undoped Si NWs at a low power consumption.
5:45 PM - P8.5
Role of Geometry on Ion-implantation Into Nanowires.
Sarunya Bangsaruntip 1 , Guy Cohen 1 , Matthew Laurent 1 , Mikael Bjoerk 2 , Hesham Ghoneim 2 , Heinz Schmid 2 , Heike Riel 2
1 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States, 2 , IBM Zurich Research Lab, Zurich Switzerland
Show AbstractIon implantation into nanowires requires additional considerations from those applied to implantation into continuous films, as the non-planar geometry and the small cross-section of nanowires strongly affect the resulting dopant dose and profile. For example, the small cross-section of a nanowire leads to implanted ions escaping through the nanowire’s sidewalls due to lateral straggle. These ions are not being replaced by ions escaping from adjacent material as would be the case if the nanowire was embedded in a film. The result is a net dopant loss in implanted, free-standing nanowires. Moreover, the dopant profile in nanowires is also affected by geometry, as the nanowire sidewalls form many facets at different angles with respect to the ion beam. The incident angle by which the ion enters the nanowire will substantially change the resulting projected range. Implantation damage can also be controlled and reduced by exploiting the nanowire geometry. This is done by spreading the total needed ion dose over the entire perimeter of the nanowire. By performing multiple, low dose ion implantations at multiple orientations - rather than a single, full dose ion implantation at one orientation- implantation damage can be significantly reduced.In this work, we have examined these geometrical effects. To better model ion-implantation into nanowires, we have expanded the established SRIM code to accommodate for geometrical effects. The enhanced code, SRIM 2D, rasters the ion beam to account for the nanowire’s non-planar surfaces and automatically calculates the angle of incidence by which the ions enter the nanowire. Similarly to the original, one-dimensional SRIM program, SRIM 2D tracks each ion trajectory in the nanowire, yet now allows for the ion to escape from any facet. Using the SRIM 2D program, we quantitatively estimated the effect of dopant loss as a function of the nanowire diameter. SRIM 2D also enabled us to obtain the cross-sectional dopant profile in implanted nanowires without the need to use expensive and computationally intensive simulation tools.To experimentally study damage reduction in ion implanted nanowires, we implanted vertical VLS grown Si nanowires using multiple orientations and compared the ion-implantation damage to a single orientation implant with the same total dose. Using TEM analysis, we found that the use of multiple orientations reduces damage. This approach is also expected to yield a more uniform junction formation in nanowires.
Symposium Organizers
Heike Riel IBM Research GmbH
Margit Zacharias University of Freiburg
Michael McAlpine Princeton University
Theresa Mayer Pennsylvania State University
P9: Nanowires - Mechanical and Thermal Properties
Session Chairs
Thursday AM, April 08, 2010
Room 2016 (Moscone West)
9:30 AM - **P9.1
Exploring Fundamental Physical Limits With Nanowires and Nanomesh Films.
Ke Xu 1 , Peigen Cao 1 , Lidong Qin 1 , Ruo Gu 1 , James Heath 1
1 Chemistry and Chemical Engineering, California Institute of Technology, Pasadena, California, United States
Show AbstractThe ability to pattern nanowires and thin film structures at pitch and line-width resolutions of a few nanometers opens up the opportunity to explore certain physical limits that are otherwise difficult to access. One such limit is the highest dissipationless current (supercurrent) that a superconductor can carry. This is described by the depairing mechanism: when the kinetic energy associated with the supercurrent exceeds the binding energy of Cooper pairs, superconductivity vanishes. Typically, the critical current density that is experimentally determined in various superconductors is more than an order of magnitude less than the depairing limit. I will discuss how the depairing current limit can be achieved in a robust and continuous fashion, and over a broad temperature range below Tc, in highly-ordered, square lattice superconductor (niobium) nanomesh films having spatial periodicities (30 nm pitch, 10 nm line width, 11 nm thick) that are smaller than both the superconducting coherence length and the magnetic penetration depth. I will also discuss the novel thermal and electron transport physics that emerge from similarly structured silicon and graphene thin films.
10:00 AM - P9.2
Effect of Uniaxial Strain on Transport Properties of Silicon Nanowires.
Emanuel Loertscher 1 , Giorgio Signorello 1 , Sigfried Karg 1 , Gotsmann Bernd 1 , Martin Enderlein 1 , Heinz Schmid 1 , Mikael Bjoerk 1 , W. Riess 1 , Kirsten Moselund 1 , Dirk Obergfell 1 , Heike Riel 1
1 Science & Technology, IBM Research - Zurich, Rueschlikon Switzerland
Show AbstractStrain effects in Silicon thin-films were demonstrated to increase the performance of transistor devices. In Silicon nanowires (Si NW) strain engineering is even more important because of their one-dimensional geometry and high surface-to-volume ratio. We have developed a measurement technique that enables tensile and compressive stress, σ, to be applied to an individual Si NW while simultaneously measuring its electrical transport properties and performing Raman microscopy in-situ. Si NWs are grown by vapor-liquid-solid (VLS) method in <111> crystal direction at a pressure of 25 Torr and a temperature of 460 degree C from a Si substrate using Au colloidal particles (20, 40 and 60 nm diameter). By adding PH3 during growth, Phosphorus (P) is incorporated in the Si NW with a Si:P ratio of roughly 333:1, yielding a n-doping concentration of ca. 2 x 10E19 cm-3. A liquid flow alignment technique is used to align the Si NW (after being released from the Si substrate) in strain direction on a flexible, transparent polymer/metal substrate. Subsequently, the NW is contacted in a four-point geometry using electron-beam lithography. Without strain, the Si NWs reveal ohmic current-voltage characteristics with a measured four-probe resistance of typically 12 kΩ. The Raman shift was measured for electrically contacted NWs to range between (520.4 - 520.9) cm-1 (632 nm excitation), corresponding reasonably well to unstrained bulk Si. The application of mechanical stress to the crystalline structure of Si NWs results in a change in electrical conductivity. A symmetric strain effect on the NW four-probe resistance reveals an increase of 25% for compressive strain and -23% for tensile strain (supply voltage 100 mV), for ε = 1.0 % (measured Raman shift, Δλ = ± 8 cm-1). Strain effects on the electrical contacts can be excluded when measuring in four-probe configuration, hence the strain effect is intrinsic to the Si NW as confirmed by the Raman shift. Furthermore, the Si NW is strained in its elastic bending regime and no plastic deformation took place as can be proven by the in-situ measurement. We will report on the dependence of mechanical strain effects on the Si NW diameter, and doping concentration (n- and p-type charge-carrier concentration). Furthermore, effects of oxide layers surrounding the Si NW will be discussed and compared to standard CMOS fabrication.
10:15 AM - P9.3
Controllable Deformation and Mobility Measurement of Silicon Nanowires With Strain Up To 24%.
Sameer Walavalkar 1 , Andrew Homyk 2 , David Henry 1 , Axel Scherer 1
1 Applied Physics , Caltech, Pasadena, California, United States, 2 Electrical Engineering, Caltech, Pasadena, California, United States
Show AbstractFabricated silicon nanostructures demonstrate mechanical properties unlike their macroscopic counterparts. We use PMMA as a force mediating polymer to controllably and elastically deform etched single crystal silicon nanowires with aspect ratios better than 33:1. By asymmetrically over-exposing the PMMA we induce a volumetric contraction that predictably deforms the silicon nanowires. This technique is demonstrated on multiple nanowire configurations, which undergo elastic deformation without noticeable damage after the polymer is removed. Calculations estimate a maximum elastic strain of nearly 24% induced in 30nm-diameter pillars. The use of an electron activated polymer allows retention of the strained configuration without any external input. As an illustration of this technique, we demonstrate nanoscale tweezing by capturing 300nm alumina beads using circular arrays of these silicon nanowires. These structures also permit investigation into the change in electron and hole mobility in nanowires placed under large amounts of strain.
10:30 AM - P9.4
Tuning of the Crystal Phase in III-V Nanowires Grown byAu-assisted Molecular Beam Epitaxy .
D. Dheeraj 1 , A. van Helvoort 1 , A. Moses 1 , T. Hoang 1 , B. Fimland 1 , H. Weman 1
1 Department of Electronics and Telecommunications and Department of Physics, Norwegian University of Science and Technology, Trondheim Norway
Show AbstractWe demonstrate the growth of wurtzite (WZ) GaAs NWs and zinc blende (ZB) GaAsSb NWs by Au-assisted molecular beam epitaxy. We show that the length of ZB segments in WZ GaAs NWs can be defined by changing either V/III flux ratio and/or substrate temperature. The ZB GaAsSb NWs possess nearly-periodic twins where the twinning frequency is varied by changing either the Sb mole fraction or the diameter of the NWs. Our observations are in agreement with Glas et al. [1] who showed that NWs favor the formation of WZ phase at high levels of supersaturation and ZB phase at low levels.In WZ GaAs NWs with ZB GaAsSb inserts we have previously observed that the transition from the WZ GaAs to the ZB GaAsSb phase was abrupt, while the transition from the ZB GaAsSb to WZ GaAs phase exhibited intermediate phases such as ZB twin, and 4H polytype GaAs followed by high density of stacking faults [2]. Here we show that it is possible to tune the crystal phase of the GaAs barrier above the insert by introducing growth interruptions. Micro-photoluminescence (μ-PL) measurements on these NWs show that the ZB GaAsSb insert with either ZB or WZ GaAs barrier at the upper GaAs barrier exhibit type II or type I band-alignment, respectively. Linear polarized imaging reveals that the μ-PL emission from the ZB GaAsSb insert is strongly polarized along the NW axis while the PL emission from the WZ GaAs NWs is perpendicularly polarized. The results indicate that the crystal phase, through its dipole selection rules, may play an important role in the alignment of the PL polarization of NWs besides the linear polarization effect induced by the nanowire/air dielectric mismatch. 1. F. Glas, G. Patriarche, and J.C. Harmand, PRL 99 (2007) 146101.2. D.L. Dheeraj, G. Patriarche, H. Zhou, T.B. Hoang, A.F. Moses, S. Gronsberg, A.T.J. van Helvoort, B.-O. Fimland, and H. Weman, Nano Lett. 8 (2008) 4459.
10:45 AM - P9.5
Si Nanowires for Ultra-high Performance Nanoelectromechanical Systems.
Marta Fernandez-Regulez 1 , Marc Sansa 1 , Jose Plaza 1 , Francesc Perez-Murano 1 , Alvaro San Paulo 1
1 , Instituto de Microelectronica de Barcelona, IMB-CNM (CSIC), Bellaterra Spain
Show AbstractThe catalytic growth of semiconductor nanowires offers the possibility of achieving otherwise unfeasible structural conformations and material combinations at the nanoscale that result in unique physical properties. In consequence, nanowire devices based upon such bottom-up nanofabrication approach have the potential to go beyond not only the size reduction limits, but also the device functionality constraints of top-down lithography based technologies. This is particularly significant for nanoelectromechanical systems (NEMS) because their basic properties are greatly influenced by scaling-laws, thus resulting in completely extreme or different behaviour at the nanoscale.Among the wide variety of semiconductor materials and growth methods explored so far for bottom-up nanowire device research, Silicon nanowires obtained via the vapour-liquid-solid (VLS) mechanism remain as a central issue due to both their unique properties and their dominant relevancy in the electronics industry. In particular, VLS Si nanowires offer exceptional perspectives for applications in NEMS. Their structural quality and unique electromechanical properties (high stiffness and resonance frequencies, giant piezoresistance) together with recent advances in growth control, promise to achieve unprecedented performance of wide variety of NEMS, ranging from simple ultrasmall nanocantilevers to nanomechanical resonators.In this presentation we will describe several results concerning the fabrication, characterization and performance optimization of several Si NW based NEMS. By combination with top-down micro/nano fabrication methods, the VLS synthesis can be used to produce horizontally suspended nanowires between the sidewalls of prefabricated Si microstructures. This approach can be applied to obtain single nanowire or nanowire array based beam-like structures, which serve as basic building blocks for nanomechanical devices. In particular, we will describe the development of nanomechanical resonators and piezoresistive cantilevers as devices that would directly benefit from the extraordinary properties of Si nanowires. Specifically, it will be discussed how nanomechanical resonators based on individual, extremely small nanowires can contribute to approach the performance characteristics required for single-atom resolution mass spectrometry. Also, we will show the application of piezoresistive strain gauges based on highly dense nanowire arrays to obtain cantilevers with a detection limit for displacement sensing up to ten times better than that provided by state-of-the-art Si thin film piezoresistive cantilevers.
P10: Nanowire Characterization
Session Chairs
Thursday PM, April 08, 2010
Room 2016 (Moscone West)
11:30 AM - P10.1
Measuring Strength of VLS-grown Si Nanowires: AFM Bending vs. Nanoindentation.
Yong-Jae Kim 1 , Kwangsoo Son 1 , Jeung-hyun Jeong 2 , Won Il Park 1 , Jae-il Jang 1
1 Division of Materials Science and Engineering , hanyang universty, Seoul Korea (the Republic of), 2 , Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractNanowires are known to have better electrical and mechanical properties than their bulk counterparts. However, widening of their applications is often hindered by the insufficient information of their mechanical properties. Although there have been many efforts to measure the mechanical properties of nanowires through various testing methods, the standard testing procedure has not been established yet and the results from each method show somewhat large deviation. In present work, we systematically performed both bending and nanoindentation experiments (which are two of the most popular nano-mechanical tests) on nanowires, in order to directly compare the result from each method. Used materials were Si nanowires, grown by vapor-liquid-solid (VLS) methods, with diameter from 30 to 100 nm and the tests were conducted with atomic force microscopy (AFM) and commercial nanoindentation equipment. From load-displacement data obtained from each test, elastic modulus and yield strength of the nanowires were estimated. The results were also analyzed in terms of the nanowire size effect on its mechanical properties. * This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government, MEST (No. R01-2008-000-20778-0).
11:45 AM - P10.2
Strain Fields in Si/Ge axial Nanowire Heterostructures With Abrupt Interfaces.
Cheng-Yen Wen 1 , Mark Reuter 2 , Jerry Tersoff 2 , John Bruley 2 , Eric Stach 1 , Frances Ross 2
1 School of Materials Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 2 , IBM T. J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractTheoretical studies have demonstrated that one-dimensional Si/Ge heterostructures have the potential for applications in which band gap and carrier confinement are tailored near the interfaces. These applications are limited in planar growth because of the unavoidable effects of lattice mismatch, which include misfit dislocations and surface roughening. In heterostructure nanowires, grown for example by the vapor-liquid-solid (VLS) mechanism, lattice mismatch plays a much smaller role because elastic relaxation is easy in these nanoscale structures. However, the use of VLS nanowires creates a different problem, which is that abrupt interfaces can not be formed between Si and Ge. This limitation is due to the nature of the VLS growth process itself: the liquid phase catalyst acts as a reservoir for the growth species making it difficult to change composition rapidly. We have recently shown that compositional abruptness across the Si/Ge interface can instead be achieved by using the vapor-solid-solid (VSS) growth method. Here, we use the VSS technique to fabricate ultra-thin Ge layers in Si nanowires in order to understand the properties of the Si/Ge interface in band-gap engineering. The nanowires are grown by chemical vapor deposition using an AlAu2 solid catalyst. By modulating the gas precursors disilane and digermane during growth, structures such as Si/Ge/Si or double-Ge layers are formed. We first describe the overall morphology of wires having Ge layers around ten atomic planes in thickness, as well as wires in which, in principle, less than one atomic plane of Ge has been included. We then show measurements of compositional abruptness using analytical microscopy, and quantification of the strain fields around the Ge layers using lattice imaging and geometric phase analysis. We will discuss the strain fields in the context of both finite element elastic calculations and calculations of band shifting near the interface, and consider possible applications of these ultra-thin quantum dots and barriers.
12:00 PM - P10.3
Direct Measurement of Minority Carrier Diffusion Length in Semiconductor Nanowires: Dependence on Temperature and Nanowire Diameter.
Afsoon Soudi 1 , Prabodh Dhakal 1 , Robert Dawson 1 , Yi Gu 1
1 Physics and Astronomy, Washington State University, Pullman, Washington, United States
Show AbstractCarrier transport in semiconductors is of both fundamental and technological significance, as it not only reflects fundamental aspects such as electron-phonon interactions, but also controls electronic and opto-electronic device characteristics. Minority carrier transport is particularly important, as it determines the performance of p-n junction based devices. A fundamental understanding of carrier transport properties, especially those of minority carriers, provides the basis for material engineering and device design efforts. In advancing semiconductor nanowire-based device technologies, a quantitative knowledge of carrier transport parameters, such as the carrier diffusion length, is required for a rational design of devices with controlled performance. From a fundamental perspective, the spatial confinement of carriers and phonons, together with the high surface-to-volume ratio, can render carrier transport characteristics significantly different from those in the bulk. Here, using a near-field scanning photocurrent microscopy technique, we have directly measured the minority carrier diffusion length in single ZnO nanowires. In particular, a cryogenic near-field scanning optical microscope was used to locally generate minority carriers in single nanowire Schottky diodes; the spatial variations of the resulting photocurrent images near the Schottky contact were used to obtain the minority carrier diffusion length. Measurements were conducted on ZnO nanowires with different diameters and at various temperatures; the underlying mechanisms of the dependence of the diffusion length on the temperature and nanowire diameter will be discussed.
12:15 PM - P10.4
Characterization of Surface and Volume Electronic Properties of Passivated Silicon Nanowires.
Olivier Demichel 1 , Vincent Calvo 1 , Fabrice Oehler 1 , Pierre Noe 1 , Nicolas Pauc 1 , Bassem Salem 2 , Pascal Gentile 1
1 , INAC/SP2M, Grenoble France, 2 , CNRS-LTM, grenoble France
Show AbstractIn recent years, significant progress has been made in integration of silicon nanowires (SiNWs) into devices, and demonstrators have been presented in nano-electronics, nano-sensing, photovoltaics and thermoelectricity. Vapor-liquid-solid mechanism using gold as catalyst is the most commonly developed and studied SiNW growth method, even if gold is known to be a deep level defects which damages the electronic properties of contaminated silicon devices. Furthermore, as dimensions are scaling down, understanding and control of surface electronic properties become critical when realizing high-performance devices based on nanowires. Up to now, the characterization of the SiNW electronic properties were essentially performed by electrical measurements like EBIC, photo-conductance or capacitance-voltage experiments. Due to the difficulty to carefully manipulate NWs, and to perform efficient electrical contacts, especially once surfaces are passivated, measurements were essentially achieved on non-passivated NWs. On the contrary, photoluminescence (PL) experiments can be really useful to access to the NW electronic properties without any manipulation, and we already demonstrated by low temperature PL that carrier can be free in gold and copper catalyzed well-passivated SiNWs [1-2].Here, we demonstrate how a curious electron-hole thermodynamic phase : the electron-hole liquid (EHL) can be useful to characterize volume and surface electronic properties. The thermodynamic stability of the EHL allows to measure surface recombination velocities (SRV) of core-shell Si-SiO2 gold-catalyzed nanowires. This method allows also to study the influence of each passivation parameters, and SRV as low as 20 cm.s-1 are measured. Volume recombination rate of gold-catalyzed SiNWs is found to be similar to that of bulk silicon: the influence of the gold-catalyst is negligible even for extremely low SRV. These results advance the knowledge of SiNW surface passivation and provide essential guidance to the development of nanowire-based devices.1.O. Demichel et al, Applied Physics Letters 2008, 93 (21), 213104.2.O. Demichel et al, Nano Letters 2009, 9 (7), 2575.
12:30 PM - P10.5
Photogenerated Carrier Dynamics in Group IV Semiconductor Nanowires: Drift vs. Diffusion by Scanning Optical Probes.
Cheol-Joo Kim 1 , Yong-Jun Cho 1 , Hyun-Seung Lee 1 , Moon-Ho Jo 1 2
1 Department of Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea (the Republic of), 2 Division of Advanced Materials Science, Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea (the Republic of)
Show AbstractOne-dimensional nanostructure photodetectors have been reported for optoelectronic components due to the unique optoelectronic features arising from their finite size and dimensionality. Therein, it is one of the essential processes to understand how photongenerated carriers afford to current through the one-dimensional channels. Here, we directly observed the photogenerated carrier dynamics by scanning photocurrent measurements on Si and Ge nanowire field-effect transistors. We report two different regimes of carrier transport limited by drift current and diffusion current from ohmic contact devices and Schottky contact devices, respectively. Specifically we observed photocurrent generation in Schottky devices is locally concentrated at the contact where a significant barrier is formed due to energy band bending. Whereas, in the ohmic devices, we find that photocarriers are uniformly generated on the whole nanowire channel at low bias and the magnitude of maximum local photocurrents is linearly increased by bias voltage. Based on the spatially resolved photocurrent profiles along the semiconductor nanowires, we propose a drift model where the carrier dynamics characteristics associated with surface states can be quantitatively extracted such as internal gain and the minority carrier life time.
12:45 PM - P10.6
Transition Metal Doped Ge Nanowires and Co-axial Heterostructures.
Sven Barth 1 2 , Richard Hobbs 1 2 , Sonia Estrade 3 , Olga Kazakova 4 , Francesca Peiro 3 , Justin D. Holmes 1 2
1 Materials and Supercritical Fluids Group, Department of Chemistry and the Tyndall National Institute, University College Cork, Cork Ireland, 2 Centre for research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin Ireland, 3 Dept. d'Electronica, University of Barcelona, Barcelona Spain, 4 , National Physical Laborator, Teddington United Kingdom
Show AbstractGroup IV semiconductor (Si, Ge) nanowires are attractive as building blocks for functional nanosystems and next generation electronics [1]. Nanowire-based circuits show reliable electrical properties, however the controlled doping of the crystalline material is still an ongoing challenge and an incorporation of additional functionalities are desired. For instance, doping Ge nanostructures with transition metals enables to introduce magnetically active centers, which lead to ferromagnetism in the resulting dilute magnetic semiconductor material (DMS). We have developed a supercritical fluid-liquid-solid (SFLS) method for the growth of single crystalline Ge1-xMnx nanowires [2] and doped co-axial heterostructures [3]. The axial and radial dimensions of these one-dimensional building blocks were controlled by precursor feedstock, growth temperature and catalyst size. The structural and chemical characterisation was performed using SEM, HRTEM, EELS and x-ray spectroscopy (XANES, EXAFS). The absence of manganese-rich by-products in the materials was confirmed and the electrical properties of these one-dimensional building blocks have been investigated. In addition, the influence of the seed particle material (Au, Ni) in the nucleation of the wire material and composition was evaluated. The magnetic properties of the nanowires were investigated by SQUID magnetometry. Soft room-temperature ferromagnetism was observed for both pure Ge1-xMnx nanowires and heterostuctures originating from diluted Mn atoms within the Ge lattice. [1] X.Y. Wu, J.S. Kulkarni, G. Collins, N. Petkov, D. Almecija, J.J. Boland, D. Erts, J.D. Holmes; Chem. Mater. 2008, 20, 5954-5967.[2] M.I. van der Meulen, N. Petkov, M.A. Morris, O. Kazakova, X.H. Han, K.L. Wang, A.P. Jacob, J.D. Holmes; Nano Lett. 2009, 9, 50-56.[3] S. Barth, R.G. Hobbs, S. Estrade, O. Kazakova, F. Pereiro, J.D. Holmes, submitted.
P11: Nanowires - Thermal and Optical Properties
Session Chairs
Thursday PM, April 08, 2010
Room 2016 (Moscone West)
2:30 PM - **P11.1
Chemical Beam Epitaxy of InSb Nanowires.
Volker Schmidt 1 , Alexander Vogel 1 , Samuel Mensah 1 , Johannes de Boor 1 , Ulrich Goesele 1
1 , MPI of Microstructure Physics, Halle Germany
Show AbstractInSb is a fascinating material. It has the highest electron mobility among the III-V semiconductors as well as a very respectable hole mobility. The high mobilities make InSb a promising canditate for low-power-high-performance logic operations. Due to the small effective electron mass, quantum effects (e.g. an increase of the bandgap) should occur at sizes of about 50 nm, which makes InSb quite attractive for basic research. Furthermore, InSb is a well known phase-change material (interesting for memory application), and possesses promising thermoelectric and magnetic properties (if doped with Mn). Taken together, InSb is really a great material for basic and applied research.In this talk, results on growth and structure of InSb nanowires grown with a chemical beam epitaxy system are presented. It will be shown that InSb nanowires can be grown on different substrates using different catalyst materials and that an in-place growth of InSb using substrates pre-patterned by means of laser interference lithography is possible. Structure and properties of the InSb nanowires will be discussed.
3:00 PM - **P11.2
Novel Size-dependent Chemical Reactivity, Light-matter Interaction and Phase Change Properties of Semiconductor Nanowires.
Ritesh Agarwal 1
1 Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractDuring the course of our research activities in the last few years in the area of semiconductor nanowires, we have discovered very intriguing size-dependent properties of these highly interesting nanostructures. Although, it is widely appreciated that nanostructures exhibit quantum phenomena at very small lengthscales (<10 nm), their unique size-dependent properties at 10-200 nm lengthscales are now being recognized. At these lengthscales not only finite-size effects become important, but also other lengthscales such as visible optical wavelengths, strain fields, interfacial, and polarization scales become comparable to the size of the nanostructures. Proper understanding of these phenomena and the effect of different lengthscales on nanowire properties becomes very important, which is also required to rationally design functional devices with tunable but precisely controlled responses. We will discuss three very interesting examples of the effect of size of nanowires on their properties; size-dependent cation exchange reaction rates which produces core−shell heterostructures, metal-semiconductor superlattices, single-crystalline nanotubes and metallic nanowires from a single-component semiconducting nanowire; size-dependent light-matter interaction in nanowire optical cavities which leads to the formation of strongly coupled one-dimensional exciton-polaritons and their very unique waveguide dispersion properties; size-dependent electrical properties that lead to novel structural phase change phenomena which also influences the kinetics and thermodynamics of the system. None of these phenomena exists in bulk systems or in extremely small systems with sub-10 nm sizes. The unique aspects of each size-dependent phenomenon in nanowires will be discussed and explained with the help of simple models. The implications of these findings for assembling novel and reconfigurable electronic and photonic devices will be discussed.
3:30 PM - P11.3
Improving Light Absorption in Thin Silicon Nanowire Photovoltaics.
Erik Garnett 1 , Mark Brongersma 1 , Yi Cui 1 , Mike McGehee 1
1 Materials Science, Stanford University, Stanford, California, United States
Show AbstractIt is now widely accepted that solar energy is a leading candidate for large-scale renewable power generation. Despite a remarkable increase in production capacity and decrease in cost over the last decade, photovoltaics are still 2-5 times more expensive than traditional power sources. One strategy for further cost reduction is to use a smaller quantity of high purity silicon, which is a major contributing component to the overall cell cost. Due to silicon’s poor absorption coefficient in the red and infrared parts of the solar spectrum, planar silicon solar cells that are only a few microns thick are less than half as efficient as thick silicon cells even with traditional light trapping schemes, primarily due to a low photocurrent. Here we demonstrate that by combining an ordered nanowire array structure with a surface functionalized dye we can drastically improve optical absorption in the red and infrared parts of the spectrum. We have measured optical path length enhancement factors of 50 or higher over the integrated AM1.5 solar spectrum using photocurrent and optical transmission data on sub-10 micron thin silicon absorber solar cells. This enhancement is near or above the Lambertian limit derived for a randomized scattering approach. The improved performance comes primarily from enhanced light trapping due to scattering and photonic crystal effects coupled to dye absorption and energy transfer. The best nanowire devices exceed 5% overall efficiency even without any surface passivation. This talk will review the fabrication, optical properties and photovoltaic response of these nanowire array solar cells and discuss our progress on reducing surface recombination and increasing the dye energy transfer efficiency.
3:45 PM - P11.4
Probing Efficiency Limits for Nanowire Photovoltaics.
Thomas Kempa 1 , James Cahoon 1 , Bozhi Tian 1 , Yajie Dong 1 , Charles Lieber 1 2
1 Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractSingle nanowire photovoltaic measurements represent a powerful method for probing the fundamental limits of conventional and novel nanostructures relevant to next generation solar cells. Previous work from our group has demonstrated that sophisticated axial and radial photovoltaic pin structures can be realized within single nanowires with efficiencies of 3.4% and best case open circuit voltages of 0.29V. Here, we show that single junction single-crystal radial nanowire structures with diameters equal to or less than 300 nm provide high open circuit voltages of ~0.5V, far exceeding values obtained in previous studies of silicon nanowire elements. Significantly, complex radial architectures demonstrate both high open circuit voltages and current densities, and can yield efficiencies approaching thin-film planar cells such as amorphous silicon. Furthermore, such devices break new ground by demonstrating that unique and complex device geometries can be implemented at the nanoscale. Such fundamental studies of the interplay between nanoscale structure and photovoltaic device metrics will be crucial to understanding the real potential that nanostructures may have in next-generation solar cells.
P12: Nanowire Solar Cells
Session Chairs
Thursday PM, April 08, 2010
Room 2016 (Moscone West)
4:30 PM - P12.1
Efficient Single-wire Si Solar Cells.
Michael Kelzenberg 1 , Daniel Turner-Evans 1 , Morgan Putnam 1 , Shannon Boettcher 1 , Claire Baek 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractPhotovoltaic devices based on arrays of VLS-grown Si nano- or micro-wires are being investigated as potential low-cost alternatives to wafer-based Si solar cells. [1] Single-wire solar cells have been demonstrated with efficiencies of 3.4%, showing promise as nano-electronic power sources. [2] Device physics simulations have predicted that single-wire efficiencies exceeding 17% are achievable, based on experimentally-observed diffusion lengths within VLS-grown Si wires. Reaching such efficiencies, however, will require thorough understanding and control of the material quality and structure of such devices.We have developed a process to produce high-quality, radial diffused p-n junctions within single Si wires, ranging in diameter from 1.5 to 3.0 µm, yielding single-wire diodes and JFET devices. Under photovoltaic operation, these single-wire diodes behave as relatively efficient solar cells. They exhibit ideality factors of 1.1 – 2.0 in the dark; and up to 500 mV Voc with 75% FF under AM 1.5G illumination. Normalized to active device area, this yields photovoltaic efficiencies exceeding 6%, which we believe to be a record for this type of single-wire device. We will present the details of the fabrication and characterization of these devices, including the determination of: Doping level, junction profile, minority-carrier lifetime, diffusion length, surface recombination velocity, impurity distribution, and junction capacitance. We will also present a comprehensive 3D, coupled full-field-electromagnetic / device-physics model which has been leveraged to improve device geometry, as well as to extract physical parameters from experimental data such as the cell’s spectral response.Presently, the efficiency of these devices is limited by bulk and surface recombination mechanisms, as well as incomplete absorption of light. As grown, wires exhibit a surface-limited effective minority-carrier collection length of << 1µm, as observed by scanning photocurrent microscopy. By passivating the wire surfaces with a thin a-Si:H film, we have already increased this collection length to 10 µm, which has yielded devices with Voc > 550 mV, FF > 80%, and photovoltaic efficiencies exceeding 8%. We have also shown that the limiting bulk minority-carrier diffusion length is >> 20 µm, thus, we hope to achieve even greater efficiencies by using a thin Si3N4 film to simultaneously act as a passivating and anti-reflective layer to enhance absorption. We will present the results of these studies, and discuss the key factors for further improving the efficiency of radial-junction, Si wire solar cells. [1] Kayes, B. M., Atwater, H. A. & Lewis, N. S. Comparison of the device physics principles of planar and radial p-n junction nanorod solar cells. J. Appl. Phys. 97, 114302-114311 (2005).[2] Tian, B. et al. Coaxial silicon nanowires as solar cells and nanoelectronic power sources. Nature 449, 885-889 (2007).
4:45 PM - P12.2
Effects of Surface Preparation on the Diode Characteristics of Radial P-N Junction Silicon Wire Array Solar Cells.
Chito Kendrick 1 , Sarah Eichfeld 1 , Joan Redwing 1 2 4 , Yu Yuwen 2 , Heayoung Yoon 2 , Theresa Mayer 2 4 , Greg Barber 3 4 , Thomas Mallouk 3 4
1 Material Science and Engineering, Pennsylvania State University, State College, Pennsylvania, United States, 2 Department of Electrical Engineering, Pennsylvania State University, State College, Pennsylvania, United States, 4 Materials Research Institute, Pennsylvania State University, State College, Pennsylvania, United States, 3 Department of Chemistry, Pennsylvania State University, State College, Pennsylvania, United States
Show AbstractRadial p-n junction silicon wire arrays are of interest as a potential pathway to increase the efficiency and reduce the cost of crystalline silicon photovoltaics. In these structures, the p-n junction is formed along the outer surface of the wires yielding a short junction length which is beneficial for improving the efficiency of solar cells fabricated using lower purity Si material. Bottom-up techniques such as vapor-liquid-solid (VLS) growth are of interest for the fabrication of Si wire arrays, however, the use of gold catalysts is potentially problematic since gold forms deep level electronic states in silicon that reduce the lifetime of minority carriers. Furthermore, gold has been shown to diffuse rapidly on the surface of silicon nanowires during VLS growth forming nanoscale clusters. Effective surface cleaning strategies are therefore needed prior to radial p-n junction fabrication in order to reduce the effect of gold contaminants on solar cell performance.In this study, radial p-n junction silicon wire array solar cells were fabricated on lithographically patterned Si substrates using gold-catalyzed VLS growth. Si (111) substrates with a resistivity of 0.005 Ω-cm were prepared for patterning with a 300 nm thick SiO2 layer. Areas of 2.5×2.5 mm were patterned with a GCA 8000 stepper; the patterns consisted of 0.5-5 µm diameter circles in a hexagonal array. Reactive ion etching was used to remove the oxide to expose the underlying silicon, which allows for a higher wire density compared to a wet etch. Gold was then thermally evaporated onto the patterned substrate, after which the excess gold was lifted off. Silicon wire growth was then carried out in an atmospheric pressure chemical vapor deposition system using SiCl4 in an H2 carrier gas at 1050°C to fabricate large area epitaxial wire arrays.Prior to radial junction fabrication, the gold catalyst was first removed from the wire tips using a commercial gold etchant, however, analysis of the tip surface revealed the presence of residual gold. A series of thermal oxidation and oxide etching steps were then used to remove the surface contamination layer. Thermal diffusion of POCl3 at 1000°C for 13 mins was used to produce an n+ surface layer. An aluminum contact was deposited on the back surface of the p-type silicon substrate and indium was used for the top contact to the n+ silicon. Silicon wire array devices fabricated with the gold etchant only exhibited large reverse leakage currents, however, the rectifying properties of the diodes improved significantly after oxidation and etching. Photovoltaic measurements were carried out under AM1.5g illumination using a silicon aperture to confine the light to the wire array area of the device. The radial junction solar cells exhibited an efficiency of 2.3% for 3 μm diameter wires with an Voc of 0.5 V, Jsc of 8 mA/cm2 and fill factor of 57%. The effect of wire diameter, spacing and length on solar cell performance will also be reported.
5:00 PM - P12.3
Semiconductor Nanowire Optical Antenna Solar Absorbers.
Linyou Cao 1 , Mark Brongersma 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractPhotovoltaic (PV) cells can serve as a virtually unlimited clean source of energy by converting sunlight into electrical power. Their importance is reflected in the tireless efforts that have been devoted to improving the electrical and structural properties of PV materials. More recently, photon management (PM) has emerged as a powerful additional means to boost energy conversion efficiencies. Here, we demonstrate an entirely new PM strategy that capitalizes on strong broadband optical antenna effects in one-dimensional semiconductor nanostructures to dramatically enhance absorption of sunlight. We show that the absorption of sunlight in Si nanowires can be significantly enhanced by more than 300%. The NW’s optical properties also naturally give rise to an improved angular response. The approach is universal to any semiconductor and a wide range of nanostructures, and provides a new PV platform technology. We propose that by patterning the silicon layer in a thin film PV cell into an array of nanowires (NWs), one can boost the absorption for solar radiation by 25% while utilizing less than half of the semiconductor material (250% increase in absorption per unit volume material). The results represent a significant advance on the understanding of the solar absorption behavior of one-dimensional semiconductor nanostructures, and provide a clear, intuitive guidance for the design of efficient solar cells.
5:15 PM - P12.4
Tuning the Shape of Semiconductor Nanowires for Advanced Photovoltaics.
Jia Zhu 1 , Zongfu Yu 1 , Ching Mei Hsu 1 , Shanhui Fan 1 , Hailin Peng 1 , Ann Marshall 1 , David Barnett 1 , William Nix 1 , Yi Cui 1
1 , Stanford University, Stanford, California, United States
Show AbstractTuning the shape of nanostructures can have a strong effect on photon management and charge carrier collection for photovoltaics. Here we demonstrate two examples of nanowire shape designing: nanocones and branched nanowires.Compared to uniform diamter nanowires, nanocones have been shown for absorbing light with much reduced reflection due to near perfect impedance match. Here we demonstrate that nanocone arrays could absorb light with great enhancement beyond the Yablonovitch Limit, due to increased guiding modes and inter-mode coupling. One step further, we demonstrate a new concept of nanodome solar cells, with nanocone arrays as the center piece of this design. The nanodome solar cells will not only greatly reduce light reflection, but also efficiently couple light into propagating wave, which dramatically enhance light travelling path. This new design provides another approach to decouple the direction of light absorption and charge collection, but with much reduced surface area and material usage, compared to nanowire radial p-n junction structure. Amorphous Si solar cell was used as a demonstration of concept. Nanodome solar cells can absorb 46% more sunlight than flat film devices with the same thickness. We demonstrate nanodome devices with a power efficiency of 5.9%, which is 25% higher than flat film one. The large short circuit current of 17.5 mA/cm2 in nanodome devices exceeds that in world record single junction a-Si:H solar cells of the substrate configuration. This nanodome designs can be applied to a variety of other solar cell technologies, such as poly-Si and dye-sensitized devices. Preliminary results will also be presented. PbSe nanocrystals have shown greatly enhanced multi exciton generation (MEG) effect, one important step toward third generation solar cells. However, it is difficult o extract generated carriers from nanocrystals without good transport pathways. Three dimensional branched nanowire or nanotube networks, with strong quantum confinement within two dimensions, and the connected third dimension as an efficient charge carrier pathway, can be ideal for enhanced MEG effect, light absorption, and carrier collection. We demonstrate successfully a large area growth of PbSe Hyperbranced and Chiral Branched Nanowires on several different substrates. More excitingly, Chiral Branched Nanowires reveal a new nanowire growth mechanism, dislocation driven growth, which can be applied to a variety of materials.
5:30 PM - P12.5
Harvesting Light Using Nanostructured Surfaces.
Silke Diedenhofen 1 , Grzegorz Grzela 1 , Rienk Algra 2 , Erik P.A.M. Bakkers 2 , Jaime Gomez Rivas 1
1 Center for Nanophotonics, FOM-Institute for Atomic and Molecular Physics (AMOLF), Eindhoven Netherlands, 2 , Philips Research Laboratories, Eindhoven Netherlands
Show AbstractLight travels in straight trajectories in homogeneous media. The direction of propagation changes when light arrives at the interface between two media with different refractive index. A fraction of the light intensity is reflected into the first medium and the rest is refracted into the second medium. At large angles of incidence nearly 100% of the intensity is reflected. Interfaces which reduce this reflection exist in nature. For example, the eyes of moths are covered with tapered nanostructures which increase the coupling of light into the eye [1]. Inspired by these biostructures, we have developed a novel material that reduces significantly the reflection between air and a semiconductor. This material consists of a bottom-up grown layer of cylindrical nanowires with different lengths or nanowires uniform in length, but conically shaped. In this layer, a gradual change from the refractive index of air to that of the semiconductor is achieved, leading to an efficient transmission of light into the semiconductor and minimizing the reflection. By measuring the specular and total transmission and reflection we demonstrate that the reduction of the reflection of GaP substrates covered by GaP nanowire layers is, indeed, caused by the graded-refractive-index of the layer [2]. We show that the role of scattering losses and absorption by the nanorod layer is secondary. These layers show a large reduction of the reflection over a broad range of wavelengths and angles of incidence. Broadband omnidirectional antireflection layers are relevant for increasing the coupling efficiency of light into solar cells.[1] C. G. Bernhard, ENDEAVOUR, 26, 79 (1967) [2] Silke L. Diedenhofen, Gabriele Vecchi, Rienk E. Algra, Alex Hartsuiker, Otto L. Muskens, George Immink, Erik P.A.M. Bakkers, Willem L. Vos, and Jaime Gómez Rivas, Adv. Mat. 21, 973 (2009)
5:45 PM - P12.6
GaP on Si Radial Junction Wire Array Solar Cells: Optical and Photovoltaic Properties.
Daniel Turner-Evans 1 , Michael Kelzenberg 1 , Adele Tamboli 1 , Manav Malhotra 1 , Nate Lewis 1 , Harry Atwater 1
1 , Caltech, Pasadena, California, United States
Show AbstractVLS-grown Si microwire solar cells offer the possibility of efficient energy generation at low cost due to the decoupling of the light absorption and carrier collection directions. Light is absorbed along the length of the wires while carriers are collected radially, allowing for the use of inexpensive, relatively low diffusion length materials. We have grown Cu catalyzed, <111> oriented, Si microwire array solar cells that have attained diffusion lengths of > 20 microns, open circuit voltages of > 550 mV, and efficiencies of > 6% in single wire measurements and large area array energy conversion efficiencies of 2-3% in photochemical reactions[1]. Additionally, device physics simulations suggest potential efficiencies of over 17% [2,3]. These promising results have led us to consider a next generation GaP on Si wire array device. In addition to being nearly lattice matched to Si, GaP’s wide, indirect bandgap of 2.26 eV makes it a good candidate for forming a multi-junction wire cell with Si. We have already demonstrated the successful epitaxial growth of up to 2 microns of GaP on 1 micron diameter arrays of Si wires.Thus, we have set out to computationally explore the optical and electronic properties of GaP on Si wire array devices. Full field electromagnetic simulations predict that the GaP layer alone can absorb well over half of the incident above bandgap solar radiation without an AR coating and considering wires that are only 10 microns long with 7 micron spacing. Additionally, we have used a device physics solver to model the characteristics of both a GaP shell photovoltaic cell on Si support and a true multi-junction cell with diffused junctions in both the Si wire core and the GaP shell. A tunnel junction serves to connect the two. The former model suggests that we can reach efficiencies of greater than 10% in the GaP cell alone for diffusion lengths of only 1 micron with open circuit voltages of >1.6V. We will present the details of our models, explore current matching considerations, discuss the optimization of important parameters such as shell width and doping profiles to attain high tandem cell efficiencies, and delve into the cells’ IV behavior, spectral response, 2D carrier transport characteristics, and other physical characteristics.[1] S.W. Boettcher et al, Science, in review[2] M. D. Kelzenberg et al, Proceedings of the 33rd IEEE PVSC (2008)[3] B. M. Kayes, J.A.P. 97, 114302 (2005)[4] E.E. Barton et al., J. Am. Chem. Soc. 130, 6342 (2008)
P13: Poster Session: Nanowire
Session Chairs
Theresa Mayer
Michael McAlpine
Heike Riel
Margit Zacharias
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - P13.1
Dielectrophoretically Aligned Nanowires for Large Area Electronics.
Sourobh Raychaudhuri 1 , William Wong 1 , Rene Lujan 1 , Robert Street 1
1 , PARC, Palo Alto, California, United States
Show AbstractThe ability to integrate Si nanowire devices on large area substrates could provide substantial performance increases over more conventional large area electronic systems. Integrating many nanowires over a large area continues to be a considerable challenge. In this talk we present device results from silicon nanowire FETs that were fabricated using solution processing techniques that could be used to construct large area electronic systems. The nanowires are first grown by chemical vapor deposition using a vapor-liquid-solid process where colloidal Au catalyst is spread over a Si substrate. The wires are then separated from their growth substrate, suspended in solution, and either drop-cast or jet printed onto a patterned donor substrate. The donor substrate is patterned with hydrophobic regions and metal electrodes to help confine the solution at specified locations and to generate an electric fields to align and place the nanowires, respectively. Applying AC electric fields of around 10,000 V/cm at frequencies of 10 - 1,000 kHz between electrodes aligns and places the in-solution nanowires so they can be used to form devices when the solution dries. The electrically aligned nanowire solutions result in a much higher device yield when compared to devices fabricated without electric field alignment. We are able to fabricate large FET arrays by applying a potential signal to only one terminal of each device while leaving the other terminal floating. The resulting p-channel FETs are found to have threshold voltages of ~1 V and show on/off ratios of greater than 10^4.
9:00 PM - P13.10
Growth and Analysis Optoelectronic Properties of Sn Doped ZnO Nanowires.
Yi-Ju Chen 1 , Chien-Lin Kuo 1 , Chuan-Pu Liu 1
1 Department of Material Science and Engineering, National Cheng Kung University, Tainan Taiwan
Show AbstractRecently,one-dimensional (1D) zinc oxide nanostructures have attracted considerable interest as potential candidates for a variety of nanoscale electronic and optoelectronic devices, owing to ZnO has wide direct band gap (3.37eV) at room temperature, and large excition binding energy (60meV). Doping impurities into ZnO nanowires is a useful way to adjust its optical and electronic properties for different kinds of devices. Compared with difficult p-type doping, n-type ZnO with high conductivity can be easily doped with 3A and 5A group elements such as Ga [1], In [2]. However, few studies of properties of Sn-doped ZnO nanowire (SZO) have been reported so far. This experiment compared ZSO with ZnO nanowire about optical and electrical properties by cathodoluminescence results and I-V curves. Si(100) substrates with a ZnO buffer layer are prepared for the synthesis of SZO nanowire. Our synthesis was performed by alloy vapour deposition at a correspondingly low temperature of 650°C using mixed powder (Zn: Sn= 4:1) as source.The lateral view SEM image of SZO nanowires shows that they grew vertically from the substrate and EDX result represents that there are 0.6 a.t % of Sn doped into ZnO nanowires. The cathodoluminescence results shows that the green emission of ZnO nanowires caused by the present of oxygen vacancies is reduced as Sn exists and the UV- emission peak doesn’t shift because there isn’t enough of Sn appearing in the structure.We measured I-V curve of single SZO and ZnO nanowire, and calculated their resistivity. The existence of Sn increases carrier concentration in ZnO, therefore resistivity of ZnO nanowire decreases dramatically from 0.11Ω.cm to 0.08Ω.cm.References1 S. Y. Bae, C. W. Na, J. H. Kang, and J. Park, J. Phys. Chem. B. 109, 2526 (2005)2 J. B.Cui and U.J.Gibson, Appl. Phys. Lett.87, (2005)
9:00 PM - P13.11
Growth of Aligned Single-crystalline Rutile TiO2 Nanowires on Arbitrary Substrates and Their Application in Dye Sensitized Solar Cells.
Akshay Kumar 1 , Anuj Madaria 1 , Chongwu Zhou 2
1 Materials Science, USC, Los Angeles, California, United States, 2 Electrical Engineering, University of Southern California, Los Angeles, California, United States
Show AbstractTiO2 is a wide band gap semiconductor with important applications in photovoltaic cells and photo catalysis. In this paper, we report synthesis of single-crystalline rutile phase TiO2 nanowires on arbitrary substrates, including fluorine-doped tin oxide (FTO), glass slides, tin-doped indium oxide (ITO), Si/SiO2, Si(100), Si(111), and glass rods. By controlling the growth parameters such as growth temperature, precursor concentrations etc., we demonstrate that the prevailing mechanism for the nanowire growth is oriented attachment. Optimization of the growth recipe leads to well-aligned vertical attay of TiO2 nanowires on both FTO and glass substrates. Effect of various titanium precursors on the growth kinetics is also studied and is observed to affect both the growth rate and the morphology of nanowires. Finally, application of vertical array of TiO2 nanowires on FTO as the photoanode is demonstrated in dye-sensitized solar cell with an efficiency of 2.9 %.
9:00 PM - P13.12
Growth of Periodic Arrays of Nanowires With Uniform Diameter.
Haeyeon Yang 1 , Seong-Nam Lim 1
1 Physics, Utah State University, Logan, Utah, United States
Show AbstractWe report on how size and position of columnar GaAs nanowires depends on size and periodicity of Ga-rich nano areas. Molecular Beam Epitaxy (MBE) is used to deposit thin GaAs layers on top of SiO2 surfaces of GaAs and Si substrates. Irradiation of interferential high power laser pulses were used to create nanoscale temperature modulations on the surfaces of the epitaxial GaAs layers so that the position and size of Ga-rich nano areas were controlled by the interferential parameters such as wavelength and intensity of laser pulses and growth parameters such as growth speed, growth temperature and the flux ratio of Ga to As. The subsequent growth of GaAs resulted in periodic arrays of nanowires with uniform diameters. Scanning Electron Microscopy (SEM) and Scanning Probe Microscopy (SPM) were used to estimate size and morphology of the initial stage of nanowire growth and their dependence on the position and size of pre-cursory Ga-rich nano areas. The correlation between parameters of growth and interference and the periodicity and size of nanowires will be discussed.* This work is supported by the Energy for Sustainability program of the National Science Foundation.
9:00 PM - P13.13
Fabrication and Morphology Control of ZnO Nanowires by Hydrothermal.
Yung-kuan Tseng 1 , Yu-Hau Feng 2
1 Cultural Heritage Conservation, National Yunlin University of Science and Technology, Douliu, Yunlin, Taiwan, 2 Graduate School of Materials Science, National Yunlin University of Science and Technology, Douliu, Yunlin, Taiwan
Show AbstractThe study major discusses the influences on ZnO nanowires array synthesized via hydrothermal under different conditions such as thickness of seeding layer, substrate, precursor solution and synthesis parameter. The nanowires were examined morphology and crystallinity by scanning electron microscopy and X-ray diffraction, respectively. The results show the numbers of nanowires increasing as the thickness of the seeding layer-AZO thin films increasing and the diameter decreasing as AZO thin film thickness increasing. The sample which through annealing treated has better C-axis high-preferential. We also find reaction time and the concentration is the key to control the morphology ZnO nanowires as needles or rods.
9:00 PM - P13.14
Fabrication and Characterization of the Cubic Phase CuS Nanowires.
Yen Chih Chen 1 , Chuan-Pu Liu 1
1 , National Cheng Kung University, Tainan Taiwan
Show AbstractCopper sulfide (CuS) is an important p-type semiconductor material shows metallic conductivity, transforms into a superconductor at 1.6 K, and exhibits fast-ion conduction at high temperatures in the literature. Furthermore, it also has great potential applications as building blocks for solar cell devices, and superionic devices. Here, we successfully synthesized the cubic phase CuS nanowires by simple thermal vapor evaporation method. The characterization, elemental analysis and structure observation of the products have been done with the help of XRD, SEM, ESCA and TEM. Initially it can be obtained that the nanowires were grown on the particles by SEM imaging. The XRD and TEM analysis indicates that the crystal structure of the nanowire was cubic phase copper sulfide, which was the high temperature phase and was the first time to be observed in the CuS reports. The diameter is ultrafine less than 10 nm and the growth direction of the nanowires is along [111] direction. It can be known that the compositions of the nanowire are mainly copper and sulfur from the ESCA and TEM EDS analysis. We proposed a brand new growth model for CuS nanowires from possible reaction and thermodynamics point of view. Furthermore, we measured the cathodoluminescence properties of the nanowire, and these nanowires may have excellent potential to apply in the nano-optical-devices assembly.
9:00 PM - P13.15
Zinc-catalyzed, Rapid Synthesis of Ultra Long Silica Nanofibers by Inductive Heating.
Kwok Siong Teh 1 , Joachim Pedersen 1
1 School of Engineering, San Francisco State University, San Francisco, California, United States
Show AbstractSilica nanofibers are an interesting nanostructure for their variety of novel applications. The ultrahigh surface-to-volume ratio of 1-D nano-diamerter SiO2 makes it an ideal substrate for functionalized filtration media, catalyzed hydrogen scavenging, pumped lasing cavities when mesoporous, and at this scale, single-mode evanescent coupled light transport.We present a method for rapid synthesis of SiO2 nanofibers on a superheated Si(100) surface. Using a 13.56MHz inductive heating device we are able to reach a process temperature of approximately 1200°C in 2 minutes with 400WRF power. We flow a mass ratio of 99:1 Ar:O2 as a purge for 15min and process gas under a rough vacuum for 5 minutes.The inductive system is kept on for 5 minutes, and is then allowed to cool to room temperature. Our inductive heating apparatus heats a nickel heating element to a temperature of approximately 700°C. This heating element secondarily heats our undoped, silicon growth wafer to a temperature at which there is substantial charge mobility. Once this temperature is reached the Si wafer then continues to increase in temperature due to direct Joule heating from the applied magnetic flux. Due to the high frequency of the applied flux, 90% of the Joule heating occurs at a skin depth within 10µm of the surface. This allows superheating of the wafer surfaceUsing scanning electron microscopy (SEM). The cross section of a cleaved wafer clearly shows the base of the nanofibers growing from the wafer surface. The SiO2 nanofibers appear to be amorphous due to their round shape and arbitrary growth directions. In addition, the silica fibers tend also to randomly change growth direction while maintaining consistent diameters. Most common diameters are about 30nm. There are some fibers with diameters of several hundred nanometers and these fibers tend to change growth directions often. Our nanofibers have been observed to form bundles of thousands of fibers all growing parallel, as well as single fibers which grow independently within a mat of thousands of fibers. Lengths approach 500µm.Energy dispersive x-ray spectroscopy (EDS) has shown the presence of only zinc, silicon and oxygen. With the regions of the sample with what we believe to be SiO2 nanofibers having much more prominent signals for Si and O than for Zn. We have run several experiments without zinc present, and with graphite, and not seen the formation of any structures without the presence of zinc.We hypothesize the growth mechanism to be the following: conditions starve oxidation of zinc due to the higher electro-negativity of silicon. With Si being the sink for a majority of the O2 in the critical region nearest the wafer surface liquid zinc is able to function as a catalyst for a vapor liquid solid (VLS) SiO2 growth mechanism. At lower temperatures, for example ~1000°C, we do not see the formation of the silica nanofibers. With an increased Ar:O2 ratio there is no formation of nanofibers.
9:00 PM - P13.17
Growth from Melt and Phase Change Oscillations in Silicon Micro- and Nano-wires.
Ali Gokirmak 1 , Gokhan Bakan 1 , Adam Cywar 1 , Kadir Cil 1 , Faruk Dirisaglik 1 , Niaz Khan 1 , Nicholas Williams 1 , Mustafa Akbulut 1 , Helena Silva 1
1 Electrical and Computer Engineering , University of Connecticut, Storrs, Connecticut, United States
Show AbstractLithographically defined highly doped nanocrystalline silicon micro/nanowires are self-heated through single, large amplitude, microsecond voltage pulses, resulting in melting and growth from the melt upon termination of the pulse [1]. Voltage-pulse induced self-heating leads to significant conductance improvement, suggesting crystallization of the wires. Current-voltage characteristics of the wires during the voltage pulses are extremely non-linear, due to thermal runaway and melting of the wires (transitioning to a very low resistance state) in short time scales. Minimum resistivity of 75.0 ± 4.6 µΩ.cm, during the pulse, is extracted from systematic electrical characterization of wires with different dimensions, matching with previously reported liquid silicon resistivity values. SEM analysis of suspended wires anchored between two contact regions show very smooth wire surfaces after the voltage pulses compared to as-fabricated nanocrystalline texture, suggesting two single-crystal grain growth starting from the ends.
A load resistor can be used to self-terminate the crystallization process. However, addition of a parallel capacitor results in relaxation oscillations in the current as the wire repeatedly transitions from solid to liquid state as the parallel capacitor charges up and discharges over the melting wire. Two distinct oscillations have been observed for slightly different geometries: First leading to ~1 MHz oscillations limited by RC charge up and discharge times with very high current levels (~ 5 mA to 20 mA, corresponding to ~ 108 A/cm2) [2], second leading to ~ 10 ns current spikes with ~ 7 mA amplitudes, rise and fall times < 350 ps and ~ 9 MHz repletion rate. The first type of oscillations correspond to wire changing between liquid and solid phases, the second type of oscillations (current spikes) are due to mechanical connection and disconnection of the wire in liquid state, possibly due to expansion and contraction of the wire as it partially melts and resolidifies at the two ends.
Significant thermoelectric effects [3-4] are observed in the melting and solidification of these structures.
[1] G. Bakan, A. Cywar, H. Silva and A. Gokirmak, "Melting and crystallization of nanocrystalline silicon microwires through rapid self-heating," Appl. Phys. Lett., vol. 94, pp. 251910, 2009.
[2] A. Cywar, G. Bakan, C. Boztug, H. Silva and A. Gokirmak, "Phase-change oscillations in silicon microwires," Appl. Phys. Lett., vol. 94, pp. 072111, 2009.
[3] D. K. C. MacDonald, Thermoelectricity: An Introduction to the Principles. Mineola, N.Y.: Dover Publications, Inc., 2006.
[4] D. M. Rowe, Thermoelectrics Handbook:Macro to Nano. DRC, 2006.
9:00 PM - P13.19
Transport Measurements Through Metal and Semiconductor Nanowires With Multi-probe STM.
Kevin Critchley 2 , Marcin Gorzny 2 , Bishnu Khanal 3 , Eugene Zubarev 3 , Nicholas Kotov 1 , Stephen Evans 2
2 School of Physics and Astronomy, University of Leeds, Leeds United Kingdom, 3 Dept of Chemistry, Rice University, Leeds, Texas, United States, 1 Chemical Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractWe use the multi-probe STM as a tool to electrically characterize metal and semiconductor nanostructures. The multi-probe STM typically reduces the number of processing steps required to carry out transport measurements on individual structures. We apply this technique to, firstly, investigate the resistivity of gold interconnects. The high resistivity of interconnects represents a major performance issue in nanoscale electronic circuits leading to noise, RC delays, and energy dissipation. Reduction of width of interconnects typically exacerbates the problem drastically increasing the resistivity compared to bulk due to grain boundary reflections and surface scattering of the charge carriers. Highly crystalline gold nanowires can be synthesized in solution by a seeded growth method. We used this method to produce uniform nanowires with varying diameters. By using the multi-probe STM we were able to measure the resistivities of individual nanowires. We found that the resistivity of the 29 nm, 92, and 180 nm diameter NWs to be 2.5 μΩ.cm, 2.2 μΩ.cm, and 2.1 μΩ.cm, respectively. This is significant when many reports in the literature report several much higher values of resistivity for Au nanowires of similar dimensions made by lithographically or otherwise. A theoretical model to describe the conductivity was used to demonstrate that atomically smooth crystalline Au nanowires display specular electron scattering thereby minimizing the ‘size effect’ and giving rise to near bulk conductivity. We also demonstrate that electrochemical deposition in porous membranes allows one to produce metal-semiconductor-metal junctions. We use a multi-probe STM with an in-situ SEM to electrically characterize these structures.
9:00 PM - P13.2
Template-assisted Electrodeposition of Bismuth Nanowires for Electronic Applications.
Andrew Monica 1 , Lesly McAnelly 1 , Lance Baird 1 , Ryan Deacon 1 , Stergios Papadakis 1 , Joan Hoffmann 1
1 , Johns Hopkins University Applied Physics Laboratory, Laurel, Maryland, United States
Show AbstractBismuth, while a semi-metal in bulk, exhibits semiconductor behavior when formed into geometries with sufficiently small length scales due to quantum confinement. When formed into cylindrical nanowires, the critical diameter to obtain semiconductor characteristics varies with temperature and crystal orientation. Electrodeposition of bismuth into nanoporous anodic alumina oxide (AAO) templates is a highly scalable method for producing electrically insulated, environmentally isolated, and highly oriented arrays of semiconducting nanowires. Compared to other deposition methods, such as vacuum melting / pressure infusion and vapor-phase deposition, electrodeposition permits a high template filling fraction and control over crystal orientation, both critical parameters to producing robust nanowires suitable for electrical devices. Here, we report on the templated electrodeposition of gold-bismuth nanowires. After first evaporating a gold seed layer on one face of the commercially-available AAO, we electrodeposit gold in the nanopores to create gold nanowire “plugs.” The bismuth nanowires are then grown from the exposed regions of gold within the nanopores. The gold-bismuth heterojunctions form suitable electrical contacts to the bismuth nanowires for measurement of transport properties and evaluation of the nanocomposite as an element of semiconductor devices.
9:00 PM - P13.20
Annealing Effects on Ion-implanted ZnO Nanorods.
Sang-Wook Han 1 , Byunghyuk Kim 1 , Changin Park 1 , Zhenlan Zin 1 , Changha Kwak 2 , Soo Young Seo 2 , Sun-Hyo Kim 2 , Sun Hong Park 3
1 Physics Education, Chonbuk National University, Jeonju Korea (the Republic of), 2 , Pohang University of Science and Technology , Jeonju Korea (the Republic of), 3 , Reach Institute of Industrial Science & Technology, Pohang Korea (the Republic of)
Show AbstractNanostructures including nanowires, nanorods, and nanotubes, have attracted great attention for nanodevice applications to nano-scale electronics and photonics as well as fundamental academic research. ZnO nanorods have excellent physical and mechanical properties for nanodevice applications. However, ZnO nanorods are limited for practical applications due to doping. In order to solve the doping problem, we implanted ions (H+, N+, Fe+) with different energies and fluxes to vertically-aligned ZnO nanorods and annealed the ion-implanted nanorods. The structural, electrical, and optical properties of the ion-implanted nanorods with and without annealing were investigated with various techniques. The annealing effect did not affect the structural properties much. However, we observed that the optical properties of photoluminescence measurements were considerably enhanced. We will also discuss the annealing effect on electrical properties characterized by I-V measurements.
9:00 PM - P13.22
Structural Transition from MgZnO Nanowires to Nanowalls by Phase Separation Introduced by Mg Injection.
Dong Chan Kim 1 , Young Sook Bae 1 , Hyung Koun Cho 1
1 School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of)
Show AbstractAmong the nanostructures, low-dimensional ZnO nanowires have been the subject of intense research for applications in short-wavelength light-emitting devices and ultraviolet field emitters on account of their wide band gap and high exciton binding energy. However, in order to achieve excellent device performance and easy fabrication, it is essential to develop vertically aligned catalyst-free nanowires of suitable density with high crystallinity and optical quality. Among all the synthesis methods, metalorganic chemical vapor deposition (MOCVD) has been demonstrated to be a promising tool with particular advantages of large area and catalyst-free epitaxial growth, accurate doping, and in-situ compositional modulation for nano-device fabrication. However, the ZnO based nanowires grown by MOCVD had several principal problems such as (i) broad diameter (~100 nm), (ii) high density, (iii) formation of interfacial layers between substrate and nanowires, and (iv) critical evaporation temperature of Zinc precursors. The high temperature growth of nanowires by MOCVD provides an effective path of achieving slim nanowires with high crystallinity and optical quality. In the recently reported research, the ultra slim and vertically aligned ZnO nanowires were grown on Si substrates by MOCVD using low-temperature homo-buffer and high-temperature growth for nanowires [1]. But, the interfacial layers between the nanowires and substrates should be eliminated because it has internal defect sites such as dislocation, vacancy, stacking faults, etc [2].In this work, we reported a method for fabricating slim and vertically aligned MgZnO nanowires or nanowalls through size competition of two phases composing of single crystal MgZnO seeds (Zn-rich phase) and amorphous MgZnO layers (Mg-rich phase), which was induced by phased separation at relatively high Mg fluxes. The phase-separated Zn-rich single crystal MgZnO seed layers allowed the growth of slim MgZnO nanowires or nanowalls, while the Mg-rich amorphous-like thin layers around the single crystal MgZnO seeds hindered the formation of nanowires. This study presented that the phase separation formed at initial growth stage by incorporating Mg can control the shape of nanostructures without interfacial layers in bottom-up process for the fabrication of Si based optoelectronic nano-devices.Reference[1] D. C. Kim, S. K. Mohanta, and H. K. Cho, "Vertically aligned ultra-slim ZnO nanowires formed by homo-buffer: growth evolution and emission properties", Crystal Growth & Design. in press[2] D. J. Park, J. Y. Lee, D. C. Kim, S. K. Mohanta, and H. K. Cho, Appl. Phys. Lett. 91, 143115 (2007)
9:00 PM - P13.23
A Novel in situ Uniaxial Testing Methodology for Mechano-Electrical Measurements of Micro/Nano-scale Specimens.
Wonmo Kang 1 , Taher Saif 1
1 , University of Illinois, Urbana, Illinois, United States
Show AbstractWe present a MEMS based micro-apparatus that allows mechano-electrical testing of nano-micro scale samples in situ in SEM and TEM. In situ observation of samples at high resolution reveals fundamental mechanism of deformation of materials and their size dependence at small scale. The sample can be fabricated separately from the apparatus, a significant advance from the existing methods. Hence, samples from a wide range of materials and sizes can be tested. As a test of the apparatus, it is employed to measure stress-strain response, fracture strength, and electrical resistivity as a function of strain of single crystal silicon with sample cross sectional dimensions from ~102 down to ~101 μm2. We recover the known elastic modulus, and piezoresistive properties of SCS within 99.99% accuracy.Materials behavior strongly depends on their structural and micro structural size scale. Hence their bulk properties cannot be extrapolated to small scale that are of interest to MEMS and NEMS. Previously, we developed a MEMS stage that allowed testing of thin films in situ in SEM and TEM. The stage revealed unusual properties and new fundamental mechanisms of deformation at nano scale. Due to cofabrication of the stage and the sample, the choice of the materials and sample dimensions were limited. Though these limitations were addressed by an assembly approach which mimics standardized marco-scale tensile test, this stage was limited to mechanical measurements only. The new MEMS apparatus overcomes this limitation and allows concurrent measurement of mechanical and electrical properties to explore their coupled interactions.Single crystal silicon specimens, one of the most commonly used piezoresistive materials, were fabricated and tested to demonstrate the capability of the new stage. Stress-strain response, fracture strength, and electrical resistivity as a function of strain are independently and simultaneously measured. The uniform loading was confirmed by the equality of strain at top and bottom of the specimen. From the stress-strain response of two SCS samples with cross sectional areas of ~100µm2 and ~1µm2, the elastic modulus of both the samples is 173±2GPa, close to the expected value of 169GPa for SCS along <110> direction. However, the fracture strain and stress are size dependent, 2.07% and 3.18% for the larger and the smaller samples respectively. Finally, piezoresistance measurement from two independent specimens and stages agrees not only with each other, but also with previously reported data in the literature.
9:00 PM - P13.24
Planar <110>-oriented GaAs and InGaAs Nanowires Laterally Grown on GaAs (311)B Substrates by Au-catalyzed Vapor-liquid-solid Mode.
Guoqiang Zhang 1 , Kouta Tateno 1 , Hideki Gotoh 1 , Hidetoshi Nakano 1
1 , NTT Basic Research Laboratories, Atsugi Japan
Show AbstractRecently, semiconductor nanowires (NWs) have become the center of attention due to their exceptional versatility and a wide range of potential applications, from electronics and photonics to biochemistry and medicine [1]. Integration of the NWs-based devices has been problematic and usually uses vertically aligned NW array for devices with a vertical structure [2] or ex-situ assembly techniques to align the NWs for devices with a planar structure [3]. It is very advantageous for the integration of NW-based devices and development of new applications to grow NWs laterally on substrates with a planar structure by an in-situ process. In this work, we developed a new approach to grow <110>-oriented lateral GaAs and InGaAs NWs on GaAs (311)B substrates via Au-particle-catalyzed vapor-liquid-solid (VLS) mode and show that the lateral NWs have high controllability in terms of size, site, position, cross-sectional shape, and composition. Au colloidal particles dispersed on GaAs substrate were used to conduct the growth of GaAs NWs in a low-pressure metalorganic vapor phase epitaxy system [4]. We grew lateral GaAs NWs with the <110> orientation on (311)B substrate via the Au-catalyzed VLS mode under an optimized growth condition. The NWs can be controlled in terms of the size and density by using size- and density-selective Au colloidal particles. The site-specific growth of the lateral NW array was also achieved by using Au dot array defined by electron-beam lithography. Regarding the growth mechanism of the lateral NWs, we clarified that the lateral NW growth is significantly dependent on the polarity of substrates. The lateral NWs must be faceted by the faces with low surface energies such as (111)B and (001). Therefore, the lateral NWs only along the <110> direction can be faceted by the stable faces. The optical properties of NWs can also be tuned by changing the cross-sectional shape such as triangle and rectangle [5]. We controlled the cross-sectional shape of the lateral NWs by modifying their side facet structure. This was achieved by using substrates with different crystalline orientations. The lateral NW grown on (311)B exhibits a triangular cross-sectional shape with only two side facets, while the NW grown on (001) exhibits a trapezoidal one with a large top (001) facet and two small side high-index facets. In addition, we successfully grew InGaAs lateral NWs on GaAs (311)B substrate by introducing In source during GaAs NW growth. [1] Mater. Today 9(10) (2006) 18-45. [2] T. Bryllert, et al.: Nanotechnology 17 (2006) S227. [3] Y. Huang, et al., Science 291 (2001) 630. [4] K. Tateno, et al.: Appl. Phys. Lett. 89 (2006) 033114; G. Zhang, et al.: J. Appl. Phys. 103 (2008) 014301; K. Tateno, et al.: Nano Lett. 8 (2008) 3645. [5] H. Ando, et al., J. Appl. Phys. 74 (1993) 6383; Sogawa, et al., Appl. Phys. Lett. 67 (1995) 1087.
9:00 PM - P13.25
Growth and Electrical Characterization of Branched Nanowire Heterostructures.
Florian Schmid 1 , Alois Lugstein 1 , Mathias Steinmair 1 , Emmerich Bertagnolli 1
1 Institute of Solid State Electronics, Vienna University of Technology, Vienna, Vienna, Austria
Show Abstract1D-nanostructures have been proven as powerful building blocks in active nanometer-scale devices. In peculiar semiconductor nanowires (NWs) may have the potential to be implemented on the mature silicon based infrastructure. Apart from device shrinking, the monolithic integration of hierarchical NW heterostructures with Si devices will lead to enhanced functionality.We explored the well controlled formation of hierarchical NW structures such as branched Si-Si, Si-Ge, Si-GaAs heterostructures using CVD and MBE process. Several aspects of abrupt heterojunction formation as well as contact formation to the 1D nanostructure were solved.Using these techniques single crystalline nanowhiskers were grown hetero-epitaxially on the side facets of single crystalline Si trunks. HRTEM investigations of the interface region showed abrupt interfaces, no grain boundaries or misfit dislocations and the interface appears to be coherent, unstrained, and epitaxial.After applying gold-contacts via e-beam lithography and lift off techniques the overall electrical properties were investigated by 4-point measurements.We showed that a reliable connection can be formed during the NW growth. Such heterostructures may become increasingly attractive for sensors and other vertical device applications requiring electrical conduction.The growth of NW heterostructures and integration in the various test modules have been accompanied by analytical support activities (HRTEM, EDX, EELS, AES, SIMS, XRD…) providing feed-back for process optimization.
9:00 PM - P13.27
Vertically Aligned p-type Single-crystalline GaN Nanorod Arrays on n-type Si for Heterojunction Photovoltaic Cells.
Yongbing Tang 1 , Zhenhua Chen 1 , Haisheng Song 1 , Chunsing Lee 1 , Hongtao Cong 1 , Huiming Cheng 1 , Wenjun Zhang 1 , Igor Bello 1 , Shuit-Tong Lee 1
1 Department of Physics and Materials Science, City University of Hong Kong, Hong Kong China
Show AbstractVertically aligned Mg-doped GaN nanorods have been epitaxially grown on n-type Si substrate to form a heterostructure for fabricating p-n heterojunction photovoltaic cell. The p-type GaN nanorod/n-Si heterojunction cell shows a well-defined rectifying behavior with a rectification ratio larger than 104 in dark. The cell has a high short-circuit photocurrent density of 7.6 mA/cm2 and energy conversion efficiency of 2.73% under AM 1.5G illumination at 100 mW/cm2. Moreover, the nanorod array may be used as an antireflection coating for solar cell applications to effectively reduce light loss due to reflection. This study provides an experimental demonstration for integrating 1D nanostructure arrays with the substrate to directly fabricate heterojunction photovoltaic cells.
9:00 PM - P13.28
Doping and Electrical Characterization of Individual Silicon Nanowires.
Pratyush Das Kanungo 1 , Xin Ou 2 1 , Reinhard Koegler 2 , Nikolai Zakharov 1 , Peter Werner 1 , Wolfgang Skorupa 2 , Ulrich Goesele 1
1 , Max Planck Institute of Microstructure Physics, Halle Germany, 2 FWIM, Forschungszentrum Dresden-Rossendorf, Dresden Germany
Show AbstractAs the drive to use silicon nanowires in nano-electronic devices and circuits is getting stronger, a clear understanding of the incorporation mechanism and electrical behavior of dopants in the nanowires is becoming more important. Owing to the quasi-one-dimensional structure of the nanowires leading to their high surface to volume ratio, the surface effects are expected to play a stronger role on the dopants in a nanowire than in planar silicon devices. We doped silicon nanowires of diameter ~ 100 nm uniformly – 1) in-situ with boron during growth by molecular beam epitaxy (MBE), and 2) ex-situ separately with boron, phosphorus and arsenic by ion implantation. In addition, the in-situ boron doping was combined with phosphorus ion implantation to fabricate an intra-nanowire p-n junction. Electrical current-voltage measurements of individual nanowires with a micro-manipulator revealed that - 1) for the uniformly implanted nanowires the electrical conductivity increases in accordance with the expected dopant concentration, and 2) the p-n junction nanowires show excellent diode characteristics. In order to understand the surface effects, profiling of electrically active dopants in individual nanowires was performed by scanning spreading resistance microscopy (SSRM). It revealed a ‘higher doped core-lower doped shell’ type of structure confirming the surface segregation of dopants. This effect was most pronounced in phosphorus-doped nanowires.
9:00 PM - P13.29
Preparation and Characterization of Highly Conductive Sn-doped In2O3 Nanowires for Optoelectronic Devices.
Kyung-Soo Park 1 2 , Young-Jin Choi 1 , Kyoung Jin Choi 1 , Yun-Mo Sung 2 , Wonjoo Lee 3 , Sung-Hwan Han 3 , Jae-Gwan Park 1
1 , Korea institute of science and technology, Seoul Korea (the Republic of), 2 , Korea University, Seoul Korea (the Republic of), 3 , Hanyang University, Seoul Korea (the Republic of)
Show AbstractWe have been studied on photocurrent generation systems using modification of electrodes surfaces by self-assembled monolayers (SAMs). In particular, the carbon nanotubes and nanorods, which can act as adsorption sites of the effective acceptors, with large surface area were employed for improvement of photocurrent generation effects upon illumination. However, the limited surface area and poor electrical conductivity of them caused only slight increase of photocurrent values. In order to overcome these drawbacks, introduction of Sn-doped In2O3 (ITO) nanowires electrode as an acceptor can be attractive breakthrough for enhancement of photocurrent generations due to high crystallinity and conductivity for easy charge transfer, radial diffusion pass for effective charge collection, and extremely large surface area for adsorption of photosensitizer. ITO nanowires with large surface area and high conductivity were grown on the Au-coated ITO glass by a metal evaporation method at low synthesis temperature. The several samples were prepared by different growth times, and then their surfaces were modified by di-(3-aminopropyl)-viologen (DAPV) and Ru(2,2’-bipyridine-4,4’-dicarboxylic acid)2(NCS)2 in sequence. We demonstrated that this ITO nanowire was a promising electrode for the photocurrent generation device, and photocurrent value of device with ITO nanowires electrode was 3 orders of magnitude lager than device without ones under the air mass (AM) 1.5 condition (100 mW/cm2). In this study, we will address syntheses, fabrication procedures, and enhancement mechanism for optoelectronic properties in device using ITO nanowires electrodes.
9:00 PM - P13.3
Muscle Driven in-vivo Nanogenerator.
Guang Zhu 1 , Zhou Li 1 , Rusen Yang 1 , Zhonglin Wang 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractHarvesting energy from environment is crucial for independent, wireless and sustainable operation of nanodevices. This is a key technology for building self-powered nanosystems. A single piezoelectric-fine-wire based alternative current nanogenerator has been applied for harvesting biomechanical energy under in-vivo conditions. We demonstrated the implanting of the nanogenerator in a living rat to harvest energy generated by its breathing and heart beating. We first converted the mechanical deformation due to the periodic expansion and contraction of the diaphragm of a rat into electricity. The single wire generator (SWG) was attached to the diaphragm surface of the rat, to which a respirator was used as a life-sustaining technique. The inhalation and exhalation of the mouse resulted in the alternative expansion and contraction of the diaphragm, which in turn produced periodical stretching and releasing of the SWG, respectively. The piezoelectric potential created in the piezoelectric wire drove the electrons to flow back and forth through an external circuit in response to the physical expansion and contraction of the diaphragm. The short-circuit current (Isc) and open-circuit voltage (Voc) were measured to exam the performance of a SWG. On average, the magnitude of the voltage and current signals are ~1 mV and ~1 pA, respectively. Also, control group was conducted, in which the rat breathed in an autonomous manner without the help of the respirator. Electric power output of similar pattern as that of the respirator-sustained breath was recorded with larger magnitude and wider electric signal peaks, which are due to physiological characteristics of the autonomous breath. The second group of experiments was to apply a SWG to harvest mechanical energy from the heart beating of a living rat. On average, the voltage and current outputs were ~3 mV and ~30 pA, respectively. This study shows the potential of applying nanogenerators for scavenging low-frequency dynamic muscle stretching energy created by very small-scale physical motion for possible application of driving in-vivo nanodevices.
9:00 PM - P13.31
Growth Mechanisms of (0001) Zinc Oxide Nanowires on (11-20) Sapphire.
Suman-Lata Sahonta 1 , Angelica Lee 2 , Jonathan Barnard 1 , Mark Welland 2
1 Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom, 2 Nanoscience Centre, University of Cambridge, Cambridge United Kingdom
Show AbstractZinc oxide is well-known for its ability to form a variety of nanostructures such as wires, rings and belts. Nanowires are particularly promising owing to their potential for use in solar cells, one–dimensional transistors and field emitters. Due to the relative ease of ZnO crystal growth by various methods including chemical vapour deposition (CVD), hydrothermal synthesis and physical vapour deposition (PVD), a vast number of reports detailing the engineering of ZnO-based devices have been made, with relatively few studies dedicated to basic mechanisms. This work presents the detailed study of growth mechanisms in [0001]-aligned ZnO nanowires by transmission electron microscopy (TEM) and X-ray diffraction (XRD). The PVD method has been employed in this case for nanowire growth, which involves the use of catalytic Au droplets to guide the condensation of ZnO vapour onto the growth facet of the nanowires, and allows large arrays of strain-free identical 4 µm-long nanowires to be synthesised quickly and reproducibly on (11-20)–plane sapphire. TEM studies show that all wires are defect-free save one or two planar defects close to the catalyst/ZnO interface. The defects are always located approximately ten monolayers from the catalyst interface, parallel to the (0001) plane, and are revealed to be I1-type stacking faults, analogous to single zinc blende units embedded in a wurtzite matrix. The origin of these defects is attributed to the presence of a reservoir of reactant Zn remaining in the supersaturated eutectic catalyst droplet after the vapour pressure of adatoms is reduced. XRD rocking curves show a single (002) peak with high diffraction intensity corresponding to growth with the c-axis of ZnO perpendicular to the sapphire (0001) surface. The full width at half maximum (FWHM) value is 0.22 °, indicating a high crystalline quality. The presence of a single interfacial step at the Au/ZnO interface confirms that growth of the (0001) facets of the nanowires is two-dimensional and by step-flow. The small size of the alloy droplets results in their remaining in the liquid state below the eutectic temperature, allowing VLS growth to be maintained for some minutes after cooling below the eutectic point, until the sublimation temperature of the ZnO/graphite mixture is reached. On cooling, the reduction in the reactant gas vapour pressure limits the available zinc in the eutectic droplet reservoir, leading to the formation of the stacking fault, and causing an accompanying reduction in the nanowire thickness at the top facet interface. Such observations confirm the VLS mechanism of nanowire growth and are consistent with the observed interfacial strain. The results suggest that careful control of the growth temperature may allow the introduction of stacking faults at will. Given that stacking faults are known to confine carriers in the same way as quantum wells, this allows potential for band gap engineering in one-dimensional optoelectronic devices.
9:00 PM - P13.32
Straight and Helical In2Se3/SiO2 Core-shell Nanowires: Synthesis, Structure and Growth Mechanism.
Congjian Pei 1 , Quan Li 1
1 Department of Physics, The Chinese University of HongKong, HongKong China
Show Abstract Indium Selenide (In2Se3) is an interesting III-VI semiconductor. Its polymorphism shows special electrical properties that can be used in applications employing phase change, such as logic gate or phase-change memory. Recently, its one-dimensional counterpart has attracted much research attention in using them as building blocks in corresponding nanodevices. In the present study, we have used physical vapor evaporation to fabricate In2Se3 nanowires (NWs) with Au as the catalyst. Scanning electron microscopy shows two morphologies of the sample synthesized, i.e., straight and helical nanowires, and both types have a core-shell structure. EDX analysis suggested that the core is Indium Selenide with In/Se ratio as 2/3, and shell is SiO2. Electron diffraction analysis of single nanowires indicates the straight nanowire type is single crystal and its growth direction is along [0001], while the helical one possesses a twin crystal structure, which is directly observed in the high resolution images. Cross-Section slice of the nanowires were made to study the formation mechanisms for the straight and the helical nanowires, and discussion is made based on the experimental results. As SiO2 is stable and insulating, the core-shell nanostructure may be useful in developing phase-change memory device.
9:00 PM - P13.33
Polarization-resolved Micro-photoluminescence Study of Nanowires Containing AlGaN/GaN Quantum Disc Structures.
Lorenzo Rigutti 1 , Maria Tchernycheva 1 , Andres De Luna Bugallo 1 , Gwenole Jacopin 1 , Francois Julien 1 , Franck Fortuna 2 , Florian Furtmayr 3 , Martin Stutzmann 3 , Martin Eickhoff 4
1 Institut d' Electronique Fondamentale, University of Paris Sud 11, Orsay France, 2 Centre de Spectroscopie Nucléaire et de Spectroscopie de Masse, University of Paris Sud 11, Orsay France, 3 Walther Schottky Institut, Technical University Munich, Munich Germany, 4 I. Physikalisches Institut, Justus Liebig University, Giessen Germany
Show AbstractGaN/AlGaN nanowires (NWs) are subject of intense study due to their appealing applications for nanoscale optoelectronic devices [1,2]. The NW geometry allows to incorporate single- and multi-quantum discs (SQDiscs, MQDiscs) with emission properties overcoming the limitations of Stranski-Krastanov quantum dots [3] and potential application to UV single photon sources. In the recent years, the role of the shape of these nanoscale systems and its influence on the polarization anisotropy of optical absorption and emission spectra have been thoroughly studied. However, the polarization of emission of NW quantum structures has not been investigated so far.We report a systematic study of the luminescence properties of AlGaN/GaN S- and MQDisc structures in single NWs with varying Al content. We demonstrate that the emission from the NW body is polarized along the NW axis (π polarization), while the QDisc emission is polarized perpendicularly to the NW axis (σ polarization). The quantum structures are situated on the top of GaN NWs grown in the polar [0001] direction. Nanowires are synthesized by plasma-assisted molecular beam epitaxy under nitrogen rich growth conditions at a substrate temperature of T = 780°C on Si (111) substrates. Single NWs are studied by means of micro-photoluminescence (µ-PL) and transmission electron microscopy (TEM). The PL energy of single and multi-quantum disc systems is explained in terms of Al content in the barriers, band bending at the upper polar surface, and strain relaxation in the heterostructure region. The polarization-resolved µ-PL study has been carried out on different QDisc samples.Single NWs exhibit at T=4K two main luminescence contributions: one is peaked at E=3.45 – 3.48 eV related to near-band edge (NBE); the other, lying at higher energy, is related to excitonic transitions confined in the MQDisc. The NBE PL peak is π polarized due to the dielectric index contrast between the wire and the surrounding medium [4]; it contains contributions from both XA and XB exciton states (related to heavy and light holes, respectively) and blue shifts when the polarization is rotated from σ to π. The MQDisc PL is σ polarized according to the selection rules for the XA exciton transition in wurtzite quantum structures [5], which is the only populated state at T=4K. The same polarization-resolved analysis performed at T=300K shows that thermal population of light hole states in the MQDisc induces the blue shift of the PL peak upon rotation of the polarization from σ to π. This shift reflects the energy split between XA and XB levels in the quantum structure, and is in good agreement with the predictions of a 1D effective mass model.[1] Kikuchi, A., et al., Express Letters, 43, L1524 (2004)[2] S. Gradečak, et al. Appl Phys Lett 87, 173111 (2005)[3] J. Renard, et al. Nano Lett 8 (7), 2092 (2008)[4] H. E. Ruda and A. Shik, Phys Rev B 72, 115308 (2005)[5] S. L. Chuang and C. S. Chang, Phys Rev B 54, 2491 (1996)
9:00 PM - P13.34
Shift of Vth in Doped ZnO Nanowire Field Effect Transistors.
Kyoungwon Kim 1 2 , Pulak Debnath 1 , Dong-Hoon Park 1 , Sangsig Kim 2 , Sang Yeol Lee 1
1 Center for Energy Materials Research, KIST, Seoul Korea (the Republic of), 2 Department of Electrical Engineering, Korea Univ., Seoul Korea (the Republic of)
Show Abstract1 atomic % silver doped zinc oxide (SZO) nanowires(NWs) were grown, one of the promising methods for doped-nanostructure formation, on the (0001) plane of sapphire substrate by hot-wall pulsed laser deposition (HW-PLD) with an Au catalyst at 800°C. Both enhancement mode (E-mode) and depletion mode (D-mode) field effect transistors (FETs) were fabricated by using SZO NWs on the highly n-type silicon substrate. The threshold voltage (Vth) of the SZO FET could be shifted from 2.45 to -3.2 V depending on the diameter of NWs without any significant change in the subthreshold swing (SS), carrier concentration, and current on/off (Ion/off) ratios. Customizing diameter of SZO NW would provide a simple and efficient process of controlling Vth in SZO NW FETs. The optimized fabrication of the two operation mode of SZO NWs FET is important for the wide application of NW FET logic inverters.
9:00 PM - P13.35
General Synthesis and Atom-probe Mapping of Semiconducting Boride Nanowires.
Joseph Brewer 1 , Robert Jacobberger 1 , Chin Li Cheung 1 , David Diercks 2
1 Chemistry, University of Nebraska-Lincoln, Lincoln, Nebraska, United States, 2 , Center for Advanced Research & Technology, Denton, Texas, United States
Show AbstractRare-earth hexaborides (REB6) have received renewed research interest in recent years because of their unique electronic and physical properties such as extremely high melting point, semiconductivity, fluctuating valence and low work function. Though several methodologies exist for the synthesis of several metallic ReB6 systems, the general synthesis of semiconducting hexaborides has not been reported. Furthermore, the determination of stoichiometry and dopant levels in REB6 nanomaterials through conventional electron dispersive x-ray (EDX) based techniques cannot provide an accurate measure of material qualities or compositions due to the secondary emission of x-ray from boron. Here we report the development of a generalized vapor-liquid-solid method with Pd-nanoparticles for the growth of both single-crystalline metallic and semiconducting REB6 nanowires (RE = Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Yb). Local electrode atom probe method was applied to elucidate accurate composition analysis of these nanowires and verify the detailed growth mechanism of these systems.
9:00 PM - P13.36
Solar Hydrogen Generation Using Titania Nanotube Arrays.
Sergey Pronkin 1 , Thomas Cottineau 1 , Yeuk Ting Law 1 , Nicolas Keller 1 , Valerie Keller 1 , Elena Savinova 1
1 Laboratoire des Matériaux, Surfaces et Procédés pour la Catalyse-European Laboratory for Catalysis and Surface Sciences, CNRS-Louis Pasteur University, Strasbourg France
Show AbstractIn recent years, the increasing concern for climate changes and the diminution of fossil fuels reserve increase the interest for a hydrogen economy [1]. Hydrogen is an interesting energy carrier but another energy source is needed for its production. For a sustainable development, a renewable energy source is needed, and solar energy appears as an interesting choice. The first demonstration of water photocatalysis with titanium dioxide by Fujishima and Honda [2] initiate numbers of investigations in order to improve the water photosplitting using a semiconductor.In spite of is large band gap (3.1 eV) TiO2 appears as a good photocalysis material with an excellent chemical stability. New hydrothermal [3] or electrochemical [4] synthesis method appears in the last decade leading to the formation of TiO2 nanofibers or nanotubes. Using nano-structurated TiO2 electrodes enhance the photogeneration of hydrogen through the high surface area of the particles, the improved charge separation and the low recombination of the photogenerated carriers. The modifications of the titanium dioxide energy band structure by doping with different elements appears as a key challenge to reduce the energy band gap and increase the light absorption of the titanium.The preparation of TiO2 nanotube arrays by anodic oxidation of Ti foil was performed by anodization of Ti foil in flouride-containing electrolyte. The stucture and morphology of TiO2 layers were varied by changing the preparation conditions. In particular, anodization time and voltage, composition and viscosity of electrolyte were adjusted. The titanium nanotube array are tested as prepared and after a thermal treatment in different conditions. The morphology of formed TiO2 nanotubes (nanotubes inner/external diameter, length) is characterized by scanning and transmission electron microscopy. Electronic structure of nanotubes is studied by electrochemical methods (electron transfer polarization curves, electrochemical impedance spectroscopy), reflection UV-vis spectroscopy and XPS.Dependence of structure, composition and morphology of synthesized TiO2 layers on preparation conditions is explored. Correlation between the characteristic electronic and morphologic parameters of TiO2 layers and their performance in water photosplitting is discussed.[1] C.A. Grimes, O.K. Varghese, S. Ranjan; Light, Water, Hydrogen; Springer Editor (2008).[2] A. Fujishima, K. Honda; Nature; 238 (1972) 37.[3] T. Kasuga, M. Hiramatsu, A. Hoson, T. Sekino, K. Niihara; Langmuir; 14 (1998) 3160.[4] D. Gong, C.A. Grimes,O.K. Varghese, W. Hu, R.S. Singh, Z. Chen, E.C. Dickey; J. Mater. Res.; 16 (2001) 3331.
9:00 PM - P13.37
Mechanisms and Kinetics of Negative Nanowire Formation in GaN and ZnO Layers Using in-situ Transmission Electron Microscopes.
Bong-Joong Kim 1 , Jerry Tersoff 2 , Eric Stach 1
1 Materials Engineering, Purdue University, West Lafayette, Indiana, United States, 2 Research Division, IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractCrucial to nanotechnology is the creation of nanoscale building blocks of various sizes and shapes. Nanostructures of wide band-gap gallium nitride and zinc oxide are of particular interest because of their applications in short-wavelength optoelectronic devices and high-power/high-temperature electronics. Here, we report the results of in-situ microscopy studies of negative nanowire formation and kinetics in the temperature regime where GaN and ZnO are spontaneously decomposed. We first used the thin GaN layers removed from their sapphire growth substrates using laser lift-off method. The layers contain a high density of crystalline defects such as dislocations (typically on the order of 10^8 ∼ 10^10 cm^-2). The samples were annealed at temperatures between 850 and 1100 °C within the objective lens of transmission electron microscopes. Above 925 °C nitrogen desorption first becomes visible at the thinnest edges of the TEM sample. Concomitant with this is the preferential dissociation of GaN along the cores of pure screw dislocations and defect free surface, resulting in the formation of hexagonal "nano-pipes" in [0001]. The pipes range from 10 nm to 50 nm in diameter. In regions with small residual stresses, liquid Ga drops are formed and they lead to create hollow "nano-tubes" in the three close-packed <10-10> on the basal plane by SLV (Solid-Liquid-Vapor) mechanism. Reflecting their shapes, we consider the two different features as "negative nanowires." By directly measuring the growth of the lateral negative wires, we found that the growth rate is constant and it is independent of wire diameter. This indicates that the rate-limiting step is dissociative decomposition of GaN on the gallium droplet's surface. We compare the above with evaporation of defect free ZnO layers at the annealing temperatures between 500 and 850 °C. Above 600 °C, the samples start to evaporate. Similar to GaN, we found the development of vertical nano-pipes ranging from 10 nm to 20 nm in diameter but no lateral negative nanowires, which could be related to the absence of liquid-forming catalyst during evaporation. We believe that the formation of negative nanowires reported here could potentially be used as nano-templates and patterns in several applications such as solar cells, LEDs, and FETs.
9:00 PM - P13.38
Raman Studies on the Dopant Incorporation into GaAs:Si Nanowires.
Bernt Ketterer 1 , Carlo Colombo 1 , Emanuele Uccelli 1 , Anna Fontcuberta i Morral 1
1 Laboratory of Semiconductor Materials (Institute of Materials), Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland
Show AbstractThe preeminent role of semiconductors in modern technology is essentially due to the fact that the electrical and optical properties of these materials can be controlled and manipulated through the incorporation of doping atoms. However, many characterization methods that have been successfully employed in bulk semiconductors in the past cannot be applied to nanostructures like nanowires. Therefore, Raman spectroscopy is due to its contactless nature and its high spatial resolution an essential alternative for the determination of impurity concentrations and their spatial distribution inside the material. Impurities can be observed in the Raman spectrum of semiconductors either via scattering by internal electronic excitations [1] or via scattering by localized vibrational modes associated with motions of the impurity atoms [2] . In this study we report about GaAs nanowires grown by Molecular Beam Epitaxy (MBE) via a self-catalytic vapor-liquid-solid (VLS) process [3]. During the growth, silicon atoms, known as amphoteric dopants in GaAs, are incorporated into the host lattice under different growth conditions. The basic mechanisms of the doping process, which are either incorporation of silicon atoms through the liquid catalyst into the core or onto the surface by uncatalysed decomposition, are addressed in this study. Key issues for the direct synthesis with dopants, like the atomic position and the homogeneity of the distribution of these dopants inside the nanowire are investigated by means of a local vibrational mode (LVM) analysis. Among the Raman active elementary excitations, the coupling of electron or hole plasmons with the longitudinal optical phonon, moderated by the macroscopic field created by both types of excitations, hold important information on the free carrier system of doped semiconductors. Raman measurements of these coupled LO phonon-plasmon modes are compared with the results of the LVM analysis to complete our picture of the dopant incorporation during nanowire growth. References:[1]D. Olego, M. Cardona, Phys. Rev. B 24, 7217 (1981)[2]M.J. Ashwin, M.R. Fahy, R.C. Newman, J. Wagner,D.A. Robbie, M.J.L. Sangster, I.Silier, E. Bauser, W. Braun, K. Ploog, J. appl. Phys. 76, 7839 (1994)[3]A. Fontcuberta i Morral, C. Colombo, J. Arbiol, J.R. Morante, G. Abstreiter, Appl. Phys. Lett. 92 063112 (2008)
9:00 PM - P13.39
Kinked Semiconductor Nanowire Superstructures.
Bozhi Tian 1 , Ping Xie 1 , Thomas Kempa 1 , Yongjie Hu 1 , Charles Lieber 1 2
1 Department of Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, Harvard University, Cambridge, Massachusetts, United States
Show AbstractControl and modulation of the composition, doping, crystal structure and morphology of synthesized semiconductor nanowires has enabled exploration of a wide-range of applications, and has spurred studies in top-down fabricated nanowires. Despite the potential demonstrated by these advances in nanowire synthesis, progress towards ab-initio design and growth of hierarchical nanostructures that could, for example allow for new function and applications, has been limited. Here, we demonstrate a ‘nanotectonic’ synthetic approach for elaboration of two-dimensional (2D) chains using kinked nanowire units. We show that iterative control of nucleation and growth in nanocluster-catalyzed silicon nanowire synthesis yields 2D chains of the kinked units, in which the arms of successive units maintain coherent growth direction, have controllable lengths and are separated by triangular joints with fixed 120o angles. Growth studies show that this new concept is applicable to other nanowire systems, including germanium and cadmium sulphide materials. Investigations of dopant-modulated structures further demonstrate that specific device function, including p-n diodes and field effect transistors, can be precisely localized at and labeled by the kinked junctions in nanowires. Our new approach to topologically-defined positioning of nanodevices opens up unique opportunities for bottom-up integration of active devices in nanoelectronics and for three-dimensional interfacing with biological systems.
9:00 PM - P13.4
Nanostructured Si Arrays by Colloidal Lithography and Metal-assisted Chemical Etching for Antireflection Coatings.
Hsin-Ping Wang 1 , Yi-Ruei Lin 1 , Chin-An Lin 1 , Jr-Hau He 1
1 Electrical Engineering, Photonics and Optoelectronics, Taipei Taiwan
Show AbstractThe antireflection (AR) coatings are utilized to suppress undesired reflection between different optical media for various optical applications. For example, multilayered AR coatings are widely used on the surface of optical and optoelectronic devices to improve the device performance. However, it is suffered from the problems, such as poor adhesion, thermal instability, and lattice mismatch. An alternative to multilayered coatings is to pattern the surface with a periodically structured array with the periodicity smaller than the wavelength of the incident light. Compared with multilayered AR coatings, subwavelength structure (SWS) surfaces show several advantages over the conventional dielectric AR coating, including broad spectral and angular response. So far SWSs have been fabricated on Si substrates by various methods. In this paper, we report the synthesis of Si nanowire arrays (NWAs) with controlled diameters fabricated by the colloidal lithography and metal-assisted wet etching. Spectral reflectance measurements of Si substrates with Si NWAs show drastic reduction in reflectance over a broad range of wavelengths and a wide range of angle of incidence. The average reflectance of Si NWAs with 185nm in diameter can be as low as ~0.86 % over the broad ranges from 200 nm to 2000 nm. Omnidirectional and polarization-insensitive AR characteristics for TE-, and TM-polarized lights have been examined to demonstrate Si NWAs as an excellent AR coating. The correlation between the diameter of NWAs and AR properties has been discussed. The demonstration of ordered Si NWA layers as excellent AR coatings will benefit the performance of optoelectronic devices greatly.
9:00 PM - P13.40
Electrochemical Fabrication of Porous Ge Nanowires.
Xuezhen Huang 1 , Jeffrey Coffer 1
1 Chemistry, Texas Christian University, Fort Worth, Texas, United States
Show AbstractPorous semiconductors elicit extensive interest as a consequence of their increased surface area and nanostructured features, thereby creating materials with opportunities for enhanced performance in optics, solar energy, and drug delivery/biosensing platforms. Traditional fabrication methods for semiconductors such as silicon (Si) have emphasized anodic or open circuit electrochemistry from bulk single crystals, thereby producing supported thin films or freestanding microparticles of the given matrix. The notion of creating one dimensional (1D) structures of even higher surface areas/porosities by porosifying nanowires is a difficult challenge, and has only recently been addressed in the case of etching silicon NWs.1-3Given its reactivity (both with and without bias), elemental germanium (Ge) presents an even more challenging case. Thus this presentation describes the fabrication of porous Genanowires by the anodization of Genanowires (grown on Si substrates) using ethanolic HCl as an electrolyte. An initial cathodic Cu electrodeposition step is found to provide useful kinetic control of the pore morphology and stabilizing the nanowires attached to the Si surface. A systematic evaluation of the role of electrolyte composition, current/voltage density, and its duration on the resultant Ge NW morphology and structure have been carried out. High resolution transmission electron microscopy (HREM), energy dispersive x-ray analysis, and selected area electron diffraction (SAED) have been employed for this purpose. In terms of fundamental photophysical properties, preliminary photoluminescence (PL) measurements using HeCd laser excitation at 325 nm suggest strong emission in the visible region. 1. J. Coffer, S. Dunkle, J. Wu, A. Loni, and L. Canham, 5th International Conference - Porous Semiconductor Science and Technology, 2006, Extended Abstract, O3-03.2.A.I. Hochbaum, D. Gargas, Y.J. Hwang, and P. Yang, Nano Lett.2009, doi: 10.1021/nl9017594 .3.Y. Qu, L. Liao, Y. Li, H. Zhang, Y. Huang, and X. Duan, Nano Lett.2009, doi: 10.1021/nl903030h.
9:00 PM - P13.41
Engineering Light Absorption in Semiconductor Nanowire Devices.
Linyou Cao 1 , Justin White 2 , Mark Brongersma 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Department of Applied Physics, Stanford University, Stanford, California, United States
Show AbstractThe use of quantum and photon confinement has enabled a true revolution in the development of high-performance semiconductor materials and devices. Harnessing these powerful physical effects relies on an ability to design and fashion structures at length scales comparable to the wavelength of electrons (~1nm) or photons (~1mum). Unfortunately, many practical optoelectronic devices exhibit intermediate sizes where resonant enhancement effects seem to be insignificant. Here, we show that leaky-mode resonances, which can gently confine light within subwavelength, high-refractive-index semiconductor nanostructures, are ideally suited to enhance and spectrally engineer light absorption in this important size regime. This is illustrated with a series of individual germanium nanowire photodetectors. This notion, together with the ever-increasing control over nanostructure synthesis opens up tremendous opportunities for the realization of a wide range of high-performance, nanowire-based optoelectronic devices, including solar cells, photodetectors, optical modulators and light sources .
9:00 PM - P13.42
Surfactant-templated Mesoporous Metal Oxide Nanowires.
Qianglu Lin 1 , Stacy Baber 1 , Mahesh Naalla 1 , Hongmei Luo 1
1 Chemical Engineering, New Mexico State University, Las Cruces, New Mexico, United States
Show AbstractMesoporous nanowires are particular attractive for sensor and catalyst applications because of their hierarchically organized structure, tunable pore size and higher surface area. However, only a few studies have been carried out to directly confine the non-silica mesoporous materials in the cylindrical pore channels. Here we demonstrate two approaches to prepare mesoporous metal oxide nanowires by surfactant assembly and nanoconfinement via sol-gel or electrochemical deposition. More specifically, the overall diameter and length of nanowires are defined by the cylindrical pore channels of porous membranes. Surfactant assembly confined in porous membranes is used to generate mesoporosity and to control the texture of nanowires. For example, mesoporous Ta2O5 and zeolite nanowires are prepared by block copolymer Pluronic 123-templated sol-gel method, and mesoporous ZnO nanowires are prepared by electrodeposition from zinc nitrate solution in presence of anionic surfactant sodium dodecyl sulfate (SDS) surfactant. The structure and morphologies of porous nanowires are studied by X-ray diffraction (XRD) and scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses.
9:00 PM - P13.43
Phase Transitions, Melting Dynamics, and Diffusion in a Nano Test Tube.
Vincent Holmberg 1 , Matthew Panthani 1 , Brian Korgel 1
1 Chemical Engineering, The University of Texas at Austin, Austin, Texas, United States
Show AbstractThe geometry of a confined nanoscale material can have a large influence on its physical transformations, and the electron microscope enables the direct visualization of these changes. We examined the evolution of a germanium (Ge) nanowire attached to a gold (Au) nanocrystal as it was heated to 900°C. In most cases, when a nanostructure undergoes a phase change, it is either destroyed or changed substantially in shape and size. In order to preserve the geometry of the nanostructure throughout the experiment, a rigid carbon shell was deposited to prevent changes in volume and interfacial area during the heating cycle. The formation of a Au/Ge eutectic mixture was visualized inside the carbon “test tube.” As the temperature continued to increase, capillary pressure pushed the melt into the cylindrical neck of the nanowire, causing the simultaneous crystallization of Ge in the spherical tip of the carbon shell. Gold diffusion down the length of the confined Ge nanowire was observed when the temperature exceeded 700°C, with diffusion coefficients much lower than those found in bulk Ge crystals.
9:00 PM - P13.44
Growth and Physical Properties of Manganese Silicide Nanowires - Signature of Helimagnetism.
Jeremy Higgins 1 , Ruihua Ding 1 , John DeGrave 1 , Song Jin 1
1 Department of Chemistry, University of Wisconsin - Madison, Madison, Wisconsin, United States
Show AbstractWe report the synthesis and physical property characterization of nanowires of two different manganese silicide phases, MnSi and MnSi1.75. MnSi, one of the B20 transition metal silicides, undergoes a magnetic phase transition below 30 K to a helimagnetic state in which the itinerant spins align into planes perpendicular to the (111) axis. The spins in successive planes are orientated at a slight angle from the one before creating a spiral with a period of 18.0 nm. “MnSi1.75” is actually a homologous family of semiconducting materials and are robust thermoelectric materials with high Seebeck coefficient and respectable figure of merit (ZT up to 0.8). We have used chemical vapor deposition of MnCl2 and the single source precursor Mn(SiCl3)(CO)5 to synthesize MnSi NWs and MnSi1.75 NWs, respectively. Their morphology and microstructures were characterized using scanning electron microscopy and transmission electron microscopy, while the composition and phase were determined using energy dispersive x-ray spectroscopy and electron diffraction. Through low temperature magnetoresistance characterization of MnSi NWs we observe the first signature of helimagnetism in 1-D nanomaterials.
9:00 PM - P13.45
Aharonov-Bohm Eeffect in Bi Nanowires.
Leonid Konopko 1 2 , Tito Huber 3 , Albina Nikolaeva 1 2
1 Institute of Electronic Engineering and Industrial Technologies, Academy of Sciences of Moldova, Chisinau Moldova (the Republic of), 2 , International Laboratory of High Magnetic Fields and Low Temperatures, Wroclaw Poland, 3 , Howard University, Washington, District of Columbia, United States
Show AbstractIn the presence of magnetic flux, Aharonov-Bohm (AB) oscillations [1] may occur in doubly connected systems. For a normal metal, the period of these oscillations is h/e (the flux quantum). For the disordered cylindrical samples with a short mean free path (compared with the circumference of the cylinder) the AB oscillations with the period proportional to h/2e was predicted by Al’tshuler, Aronov, and Spivak (AAS) [2]. This effect arises from the interference of pairs of coherent electron waves circumscribing the cylinder.Here we present our results for observation of Aharonov-Bohm (AB) oscillations in Bi nanowires with a diameter d<80 nm. The nanowire samples with glass coating were prepared by improved Ulitovsky technique and represented cylindrical single crystals with (1011) orientation along the wire axis. Semimetal Bismuth has electrons and holes with very low effective masses, as a result, electronic quantum confinement effects induce a semimetal-to-semiconductor transformation (SMSC) for wires with diameters below 50 nm. Angle-resolved photoemission spectroscopy (ARPES) studies of planar Bi surfaces have shown that it supports surface states with strong spin-orbit interactions. The surface carriers become majority in nanowires with diameters below 100 nm at low temperatures; the ratio of surface carrier density to bulk electrons or holes is 12 for 55 nm wires. At that point, the Bi nanowire should effectively become a conducting tube. The oscillations of longitudinal magnetoresistance (MR) of Bi nanowires with two periods proportional to h/e and h/2e were observed. We propose an interpretation of the observed h/e oscillations of MR in terms of oscillations in the density of surface states [3]. The oscillating contribution to the MR with the period h/2e is due to the AAS effect. From B~8 T down to B=0 the extremums of h/2e oscillations are shifted up to 3π at B=0 which is the manifestation of the Berry phase shift due to electron moving in a nonuniform magnetic field. In contrast to experiments with rings of 2D holes in GaAs where the phase shift for h/e oscillation was equal to ±π, in our case we obtained 3π shift for h/2e oscillation only in one direction. The period of equidistant oscillation of MR was measured at various inclined angles of magnetic fields relative to nanowire axis up to 90o. Obtained results allow us to propose Bi nanowires for spintronic applications.This work was supported by the Academy of Sciences of Moldova, Grant No. 09.808.05.02A.1. Y. Aharonov and D. Bohm, Phys. Rev., 115, 485, (1959).2. B. L. Altshuler, A. G. Aronov, and B. Z. Spivak, Zh. Eksp. Teor. Fiz., Pis. Red., 33, 101, (1981). [JETP Lett., 33, 94, (1981).]3. A. Nikolaeva, T. Huber, D. Gitsu, L. Konopko, Phys. Rev. B 77, 075332 (2008).
9:00 PM - P13.46
Synthesis of CdSe and CdS Nanowires Arrays via Epitaxially Growing on CdSe Nanoribbons: Optical and Electronic Properties.
Guohua Li 1 2 , Yang Jiang 1 , Jiansheng Jie 3
1 School of materials and Science, Hefei University of Technology, Hefei, Anhui, China, 2 Chemical Engineering , University of California, Berkeley, Berkeley, California, United States, 3 Applied physics department, Hefei University of Technology, Hefei, Anhui, China
Show AbstractWell-aligned single-crystalline CdSe and CdS nanowires arrays were synthesized via epitaxially growing on CdSe annoribbons in a chemical vapor deposition process. The morphology of as-synthesized CdSe and CdS nanowires were examined using field-emission scanning electron microscopy and transmission electron microscopy. High-resolution transmission electron microscopy, powder X-ray diffraction, Raman spectroscopy and energy-dispersive X-ray spectroscopy were introduced to further characterize the material nature and growth mechanism, and the growth mechanism of CdSe and CdS nanowires were investigated in detail. In addition, the electrical properties of CdSe and CdS nanowires were investigated using several micro-fabricated prototype devices based on single CdSe and CdS nanowires.
9:00 PM - P13.47
Experimental Study of Structural, Electrical, and Optical Properties of Zinc Oxide Nanostructures.
Sung Kyu Lee 1 , Daqing Zhang 1 , Christine Berven 2 , Robert Devitt 1 , Vanvilai Katkanant 1
1 Physics, California State University, Fresno, Fresno, California, United States, 2 Physics, University of Idaho, Moscow, Idaho, United States
Show AbstractThe semiconducting zinc oxide nanostructures are attracting increased attention in the science and technology communities partially because of their unique electric and optical properties with wide energy band gap (3.4eV) and large exciton binding energy (60meV). Their broad potential applications are from nanolasers, solar cells, photodetectors, and optical switches to piezoelectric generators, nanosensors, and electron transporters and transistors. Many of these applications are possible and enhanced due to the use of ZnO nanostructures. In this paper we present the synthesis of nanostructures including nanowires, nanobelts, and nanopillars using tubular furnace chemical vapor deposition techniques via vapor-liquid-solid mechanism. The as-grown ZnO nanostructures which greatly depend on synthesis conditions especially growth temperature were examined with SEM, TEM and XRD. Current-voltage (I-V) measurements were employed to investigate the electric properties of ZnO nanowires with various target gas environments and with laser irradiation. The I-V curves at temperature ranged from 150 to 300K were recorded under vacuum, and the Arrhenius plot shows perfect linear of I and 1/T. the donor level of the semiconducting nanowires is about 326meV. We observed that with the laser beam the current increased 50% compared to that of laser absence. The I-V behaviors were found to be reversible with various target gases and it was enhanced by a factor of four under a reductive gas, CO. Further studies on ZnO nanostructural mechanical properties and bio-senor applications are undergoing.
9:00 PM - P13.48
Metal Contacts, Passivation Effects, and Device Stability for Si Nanowire FETs.
William Wong 1 , Sourobh Raychaudhuri 1 , Sanjiv Sambandan 1 , Rene Lujan 1 , Robert Street 1
1 Electronic Materials and Devices Laboratory, Palo Alto Research Center, Palo Alto, California, United States
Show AbstractThe integration of Si nanowire (Si NW) materials with low-melting point substrates for high-performance flexible electronics requires the optimization of low-temperature processing for device fabrication. In this talk, we examine the I-V characteristics of Si NW field-effect transistors (FETs) fabricated with different contact metals and passivation layers.Bottom-gate field-effect transistors were fabricated using a mechanical transfer technique. Silicon nanowires were first grown from a gold seed layer by the vapor-liquid-solid process using chemical-vapor deposition onto silicon substrates. The undoped silicon nanowires were then transferred using a sliding contact method to assemble the nanowires from the growth substrate onto the device wafer. Conventional thin-film processing techniques were then used to fabricate FETs using different contact metals and encapsulation materials. P-channel and n-channel FETs were fabricated using Ti/Au and Ti contact metals, respectively. The as-fabricated p-channel (n-channel) devices showed threshold voltages of -10V (+12 V), field-effect mobility of 12cm2/Vs (15 cm2/Vs), and on-off ratios of 104. A SiO2 passivation layer, deposited at 150 and 170°C, was used to create “wrap-around” NW-FETs. It was found that the devices passiviated at higher temperatures had an improved threshold voltage of +5V and -5V for the n- and p-channel devices, respectively. For Si02 deposited below 170°C a ±10V VT shift was observed. Bias stress measurements show that an approximately 25% decrease in field-effect mobility was measured for the devices passivated using the 150°C oxide. Little or no VT shift and mobility degradation was observed for the devices passivated with the 170°C oxide. We will also discuss the affect of different passivation layers on device performance and stability and how these characteristics are implemented in the fabrication of backplane arrays for flexible displays.
9:00 PM - P13.49
Structuring Silicon Wire Photocathode Arrays to Increase Light Absorption.
Matthew Bierman 1 , Shannon Boettcher 1 , Bruce Brunschwig 1 , Nathan Lewis 1
1 Chemistry and Chemical Engineering, California Institute of Technology, Pasadena, California, United States
Show AbstractSemiconductor structure on the micro- and nano-scale provides advantages for high-performance applications. This is especially true in minority-carrier applications like photoelectrochemical water splitting. An example advantage is the orthogonalization of light absorption and carrier separation in a 1-dimensional wire geometry which allows sub-par semiconductor materials to be employed. I will discuss recent work involving materials growth strategies for silicon wire arrays beyond the vertical wire geometry, intended to tune the light absorption while requiring a minimum of material. The implications to performance in a device will also be discussed.
9:00 PM - P13.50
Piezoresistive Strain Gauges Based on Si Nanowire Array.
Marta Fernandez-Regulez 1 , Jose Antonio Plaza 1 , Alvaro San Paulo 1
1 , IMB-CNM, CSIC, Bellaterra, Barcelona, Spain
Show AbstractThe use of integrated piezoresistive sensors for electromechanical transduction in micro and nano electromechanical systems(MEMS and NEMS) implies important advantages with respect to other approaches such as optical or magnetomotive transduction. Piezoresistive readout of motion of nanomechanical structures enables applications where difficulties in laser alignment make optical detection inconvenient, or when the restrictive experimental conditions needed for magnemotive detection make device testing impractical. Unfortunately, typical detection limits obtained with conventional Si thin film piezoresistive strain gauges are still below the values provided by these other methods. In this contribution we present the development of ultrasensitive piezoresistive strain gauges that exploit the exceptional mechanical and piezoresistive properties of catalytic grown Si nanowires. We have developed a fabrication process that allows the implementation of nanowire-based strain gauges in MEMS and NEMS, which is demonstrated here for piezoresistive cantilever sensors. The cantilever design is based on the standard double-leg piezoresistive cantilever sensor and we found that the array must be located at the base of the cantilever and only in one side of the neutral axis to ensure that only compressive or tensile stress occurs when the cantilever is deflected. Fabrication of such structure has been achieved by lithography guided growth of Si nanowires via VLS mechanism. Guided growth was possible by using a selective area catalytic deposition method that ensures nanoparticle deposition only in Si exposed areas but not on oxidize one. The diameter, density and doping concentration of the nanowire array are all controlled by tuning the growth conditions.Piezoresistive characterization of the device sensitivity was made by applying a force on the tip of the cantilever with an AFM and simultaneously measuring the relative change of the array resistance. We have studied the sensitivity with the array in compression and tension and taking into account possible buckling effects for nanowires with smaller diameters. The resulting analysis reveals that the high piezoresistive coefficients and the effect of stress accumulation in the nanowires produce a device sensitivity around two orders of magnitude higher than values reported for cantilevers based on bulk Si. On the other hand, noise is important in determining the detection limit. Experimental results about the characterization of electrical noise will be discussed and compared with theoretical estimations. We found that Hooge noise (1/f) is the dominant source due to the reduced volume of the nanowires array resistor and thus the low number of carriers. Our results determine that piezoresistive strain gauges based on Si nanowire arrays can reach a detection limit that improves in more that one order of magnitude that of state of the art of piezoresistive cantilevers based on bulk Si.
9:00 PM - P13.51
Dislocation-driven Growth of ZnO Nanowires.
Stephen Morin 1 , Matthew Bierman 2 , Jonathan Tong 1 , Song Jin 1
1 Department of Chemistry, University of Wisconsin - Madison, Madison, Wisconsin, United States, 2 Department of Chemistry, California Institute of Technology, Pasadena, California, United States
Show AbstractThe vapor-liquid-solid growth mechanism or other similar catalyst-driven mechanisms are evoked almost exclusively to explain the anisotropic crystal growth responsible for the one dimensional morphology of nanowires. However, as has been seen from the recent growth of hierarchical pine-tree like lead sulfide/selenide nanostructures, axial screw dislocations can drive anisotropic crystal growth forming nanowires. We now extend this screw dislocation-driven nanowire growth mechanism to metal oxide nanowires. Specifically, solution synthesized zinc oxide (ZnO) nanowires are shown to contain axial screw dislocations and their anisotropic growth confirmed to be driven by screw dislocations. This further generalizes the dislocation-driven nanowire mechanism highlighting its viability as a new catalyst-free nanowire synthesis strategy for a variety of different materials systems and applications.
9:00 PM - P13.53
Heterostructure GaAs/InGaAs/GaAs Nanowires Grown Using An Alternative Patterning Pathway on Etched GaAs Substrates.
Aloysius Gunawan 1 , Smitha Jha 3 , T. Kuech 1 2
1 Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Chemistry Department, University of Wisconsin Madison, Madison, Wisconsin, United States, 2 Chemical and Biological Engineering, University of Wisconsin Madison, Madison, Wisconsin, United States
Show AbstractAn alternative method towards a controlled vapor-liquid-solid (VLS) growth of heterostructure nanowires was carried out using anodic alumina assisted etching of GaAs as the patterned substrates. As opposed to the more common patterning mechanism which incorporates the presence of the anodized alumina membrane (AAM) during the growth of nanowires as templates, this alternative process uses AAM to etch GaAs substrates before nanowire synthesis. The AAM was synthesized using chemical anodization of 500 nm evaporated Al film on GaAs substrates. After the entire Al layer has been converted to alumina, substrate etching occurred on regions in contact with the electrolyte through the pores in the AAM. This resulted in the formation of indentations on the substrates with controllable shapes and sizes, which serves as pinning sites to prevent coalescence during the critical annealing step of Au catalysts in VLS nanowire growth. Au films were deposited using thermal evaporation on the etched GaAs substrates after the AAM has been removed. Anodization at 40 V in 0.3 M oxalic acid immediately followed by 6 min substrate etching with 2 nm thick evaporated Au islands was an optimal set of process parameters used to subsequently obtain dense (~500 µm-2) 16.8 ± 4.7 nm diameter gold particles. This is about twice the density typically achieved when employing annealed Au films to form Au nanoparticles on bare GaAs substrates. Prolonged substrate etching resulted in a disordered indentation arrangement that eliminated the size uniformity of the annealed gold nanoparticles. Varying the thickness of the Au films to 1 and 3 nm altered the average diameter of the annealed Au nanoparticles to 13.5 ± 3.4 and 18.9 ± 5.8 nm. The Au nanoparticles were then used as the seed catalysts to grow GaAs/InGaAs/GaAs nanowires using metal organic vapor phase epitaxy (MOVPE). The nanowires retain similar size and density distributions as the initial seed particles. The length of the nanowires was 750 nm - 1 µm on average with 50 nm InxGa1-xAs layer as observed in high angle annular dark field-scanning transmission electron microscopy (HAADF-STEM). Photoluminescence spectroscopy was used to determine the composition (x) in the InxGa1-xAs layer using Ar-ion laser at 30 K. The In composition, x, was calculated to be 10 at. % using Varshni formula fitted with the observed InGaAs peak at 1.35 eV. The presence of In was verified by energy dispersive x-rays using a combined STEM (EDX-STEM). The patterning of the GaAs using this template approach suppresses the lateral surface diffusion of Au allowing for the control size and density of the heterostructure nanowires. Au diffusion can limit the narrowness of the wire distribution in other approaches. The technique described and demonstrated here is a practical and cost-effective way to fabricate uniform GaAs/InxGa1-xAs/GaAs nanowires over large areas.
9:00 PM - P13.54
Large-area Si Microwire Array Solar Cell Design and Fabrication.
Morgan Putnam 1 , Shannon Boettcher 1 , Daniel Turner-Evans 1 , Michael Kelzenberg 1 , Emily Warren 1 , Joshua Spurgeon 1 , Nathan Lewis 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show AbstractArrays of Si microwires provide a promising pathway for the development of efficient, crystalline Si photovoltaics with thin-film fabrication costs[1]. Recently, we have fabricated absorption-limited, single-wire photovoltaic devices with a Voc of 550 mV, Jsc of 10. mA/cm2, FF of 0.81, and overall efficiency of greater than 4 % when normalized to the entire wire area (measured under a simulated AM 1.5G spectrum). To utilize these wires in a large-area, low-cost solar cell with moderate to high efficiencies (as compared to wafer-based crystalline Si technologies), a low-cost fabrication scheme will be presented for integrating microwires in an aligned array without inducing shunts, large series resistances or significant contact shadowing losses.As a first step in the development of a transparent top-contact, opaque Al top-contacts have been evaporated onto wire arrays in order to evaluate the dark IV characteristics of Si microwires connected in parallel. Top-contact areas were defined with either a shadow mask during the Al evaporation or a physical isolation step post-evaporation. For a representative contact with a contact area of 0.00084 cm2 (~ 1300 wires), dark IV curves exhibited diode behavior with an ideality factor of 1.6 and dark current of 4×10-9 A. These results are in good agreement with the dark IV characteristics obtained from single wire measurements, where ideality factors range from 1.1 to 1.6 and dark current is ~ 5 pA/wire. Thus the quality of our large-area diodes suggests that our fabrication process for producing arrays of Si microwire diodes is capable of yielding efficient photovoltaics with the development of a transparent top-contact.Though the Al top-contact was optically thick at the wire tip, the contact was not optically thick along the sidewalls of the wire. This allowed for preliminary measurements of a Si microwire array’s photovoltaic properties under a simulated AM 1.5G spectrum. A Voc of 110 mV, Jsc of 0.10 mA/cm2 and FF of 0.41 were measured (η = 0.0045%) . As expected the η and Jsc are low; Voc however is quite high given the low Jsc. This relatively high Voc further indicates the high-quality of the large-area, Si microwire array diode.[1] M. D. Kelzenberg, M. C. Putnam, D. B. Turner-Evans, N. S. Lewis, and H. A. Atwater, Proceedings of the 34th IEEE PVSC, 2009.
9:00 PM - P13.55
Pulsed VLS and ALD Growth of Antimony Chalcogenide Nanowires and Heterostructures.
Ren Bin Yang 1 , Julien Bachmann 2 , Nikolai Zakharov 1 , Oussama Moutanabbir 1 , Ulrich Goesele 2 , Kornelius Nielsch 1
1 Experimental Department II, MPI-Halle, Halle, Saxony Anhalt, Germany, 2 Institute of Applied Physics, University of Hamburg, Hamburg Germany
Show AbstractUntil very recently, VLS growth of semiconducting nanowires of the V-VI family of materials have not been known. In this presentation, nanowires of antimony sulfide and antimony selenide were grown in a catalysed pulsed chemical vapor deposition mode using tris(dimethylamido)antimony, hydrogen sulfide and diethyldiselenide at 350°C. Both types of nanowires were found to crystallize in the orthorhombic phase by high-resolution TEM investigations. The wires also exhibit stoichiometric compositions (Sb2S3 and Sb2Se3) through energy dispersive X-ray analysis. By combining the high temperature VLS process with a low temperature ALD technique for Sb2S3 (Chem. Mater. 2009, 21, Communication in press), novel crystalline core-shell and double-segmented nanowires were obtained. The interfaces of these heterostructures will be discussed together with some physical properties of these nanowires.
9:00 PM - P13.6
Terahertz Emission from Vertically-aligned Silicon Nanowires.
Yong Jae Cho 1 , Gyeong Bok Jung 1 , Yoon Myung 1 , Han Sung Kim 1 , Jeunghee Park 1 , Chul Kang 2
1 , Korea University, Jochiwon Korea (the Republic of), 2 , Advanced Photonics Research Institute, Gwangiu Korea (the Republic of)
Show AbstractTerahertz (THz) radiation is a promising light source for various important applications such as time-domain THz spectroscopy, THz imaging, and pump-probe dynamics analysis with subpicosecond time resolution. In order to make the mentioned application possible one needs higher-power THz sources, more sensitive THz sensors, and more functional devices and materials. Thus finding effective THz sources is currently an important research direction. We report THz emission from large-area vertically aligned silicon nanowire (Si NW) array, with a controlled length (0.7 ~ 9 m), synthesized using a chemical etching of n-type silicon substrates. As the length of the Si NWs increased, the intensity of THz emission was sharply increased to the length of 3 m and saturated. The enhanced THz radiation of the longer Si NWs array could be attributed to their black surface structure. We also observed that the frequency spectrum of the THz emission shifted to lower frequency, with a narrower width. The frequency shift would be originated from the anisotropic nanowire geometry, providing important insight into the nanosize effect on the THz emission. These behaviors were supported by UV-visible absorption and Raman spectra, which are in good agreement with the result of the amplitude of THz emission.
9:00 PM - P13.8
Direct Observation and Analysis of the Gibbs-Thomson Effect in Germanium Nanowires.
Shadi Dayeh 1 , Eli Sutter 2 , S. Picraux 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States
Show AbstractProgress in the synthesis of semiconductor nanowires (NWs) has prompted intensive discussions of the science of their growth and the technological applications they promise. The diversity in synthesized materials and synthesis techniques has lead to improvising previously accepted models in some cases, and contradicting them in others. Contradictory diameter-dependent growth rates can be explained for III-V NWs due to the interplay of gas phase reactions, surface diffusion, and heterogeneous reactions that limit mass transport[1,2] but are not expected to apply for elemental semiconductors (Si,Ge) whose growth is limited by the vapor-liquid and liquid-solid reactions directly at the growth seed. [3,4,5] Ge, the increasingly important electronic counterpart of Si, has received less attention in terms of detailed studies on growth kinetics, and related effects of doping species – necessary for making useful devices – have never been explored before. In this contribution, we present systematic characterization of the diameter-dependent growth behavior in doped and undoped Ge NWs and provide the first experimental evidence of the Gibbs-Thomson effect by correlating growth rates to the measured Ge solubility fraction in different diameter Au nanoparticles/NWs from in-situ TEM measurements. Our experimental results can be summarized as follows: (i) Ge NW growth rate decreases for small diameters with and without the presence of PH3 and B2H6 dopants that vary supersaturation requirements (growth rates) but have negligible effects on the NW morphology. (ii) There are no synergetic effects with lateral spacing for the case of Ge NWs. (iii) The observed growth rates at different temperatures can be accurately modeled by the classical empirical model of Givargizov,3 and that of Schmidt et al.[6] providing a predictive growth capability (iv) The liquidus temperature for Ge in Au is shown by in situ TEM to be significantly depressed and size-dependent, consistent with lower supersaturations and our observed lower growth rates. These results affirm the applicability of the Gibbs-Thomson effect for elemental semiconductor nanowires and provide new insights on thermodynamic aspects of nanoscale alloys. [1] Borgstrom, M. T., Immink, G., Ketelaars, B., Algara, R., & Bakkers, E. P. A. M. Nature Nano. 2, 541 (2007). [2] Dayeh, S. A., Yu, E. T., Wang, D. Nano Lett. 9, 1967 (2009). [3] Givargizov, E. I. J. Cryst. Growth 31, 20 (1975). [4] Weyher, J. J. Cryst. Growth 43, 235 (1978). [5] Kodambaka, S., Tersoff, J., Reuter, M. C., Ross, F. M. Phys. Rev. Lett. 96, 096105 (2006). [6] Schmidt, V., Senz, S., Gosele, U. Phys. Rev. B 75, 045335 (2007).
9:00 PM - P13.9
Effects of Surface Passivation on Gate Hyteresis of Si Nanowire Field-effect Transistor.
Jeong-Hoon Heo 1 , Kyung-Soo Park 1 , Jae-Gwan Park 1 , Gyu-Tae Kim 2 , Kyoung Jin Choi 1
1 Nano-Materials Research Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of), 2 Department of Electrical Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractNanowire-based field-effect transistors (FETs) have much larger surface area exposed to the air compared with thin-film transistors. Thus, it might be very important to control and passivate the surface of nanowires for the fabrication of NW-FET with good electrical properties. Thus, in this work, we fabricated silicon nanowires (SiNW) field-effect transistors (FETs) and investigated the effects of surface states on gate electrical hysteresis of FETs. SiNW was grown by hot-wall chemical vapor deposition (CVD) using SiH4 and B2H6 as precursor and p-type dopant, respectively. Two types of gate configuration or top- and bottom-gate FETs were fabricated using electron-beam lithography patterning of SiNW dispersed on SiN/Si substrates. For fabricating top-gate FET, SiNWs were thermally oxidized at 950 °C for 10 min, which generated SiO2-Si core-shell nanowire structure. In electrical measurements, we observed very high gate hysteresis in bottom-gate SiNW FET. However, this hysteresis was significantly decreased in top-gate FET using SiO2-Si core-shell nanowires. This distinctive difference was attributed to the successful passivation of surface states by high-quality thermal oxide. For comparison, SiNW FET passivated by PECVD-grown SiO2 show practically no improvement in the gate hysteresis. In other words, PECVD-grown SiO2 on Si surface cannot passivate the Si surface completely.
Symposium Organizers
Heike Riel IBM Research GmbH
Margit Zacharias University of Freiburg
Michael McAlpine Princeton University
Theresa Mayer Pennsylvania State University
P14: Nanowire Applications: Solar Cells and Sensing
Session Chairs
Friday AM, April 09, 2010
Room 2016 (Moscone West)
9:30 AM - P14.1
The Optical Properties of Coated Nanowires for Solar Cells.
Robert Street 1 , William Wong 1
1 , Palo Alto Research Center, Palo Alto, California, United States
Show AbstractNanowires have characteristic optical properties that make them interesting for solar cells and other applications. In particular, the recent interest in vertical solar cell structures highlights the need to understand and control the optical properties of complex nanowire structures. Many optical studies of nanowires are concerned with ordered arrays in which light is guided into the structure with typically low reflectivity. This work instead focuses on disordered nanowires in which light is scattered rather than guided. We focus on the particular case in which a disordered nanowire mat is coated with an absorbing semiconductor material, such as may be used for a solar cell structure. Silicon nanowire mats coated with amorphous silicon were fabricated by the VLS technique for the nanowires, followed by conformal PECVD deposition of a-Si. The diffusive light scattering causes the incident photon to interact with many nanowires, thereby increasing the effective optical path length of the coated layer. Measurements of the diffuse optical reflectivity confirm the enhanced optical absorption in the coated layer. Our recent analytical model enables the calculation of the reflectivity, absorption and transmission of a nanowire mat, across a wide spectral range, and including substrate effects [1]. The model provides the ability to predict the optical properties of various nanowire mat structures, including core/shell structures, and we show that the reflectivity data are consistent with the analytical optical model. The model also allows us to predict the theoretical efficiency of coated nanowire solar cells, to select the optimum thickness of the coating and to study the behavior of other coating materials. For example, we show that coating with an a-SiGe alloy should significantly increase the solar cell efficiency, despite its higher defect density.1. R. A. Street, W. S. Wong and C. Paulson, Nano Lett. 9, 3494 (2009).
9:45 AM - P14.2
Numerical Simulation of Single- and Multi-junction Nanowire Solar Cells.
Evan Pickett 1 , Anjia Gu 2 , James Harris 1
1 Materials Science , Stanford University, Stanford, California, United States, 2 Applied Physics, Stanford University, Stanford, California, United States
Show AbstractNanowire and nanopillar array solar cells have the potential to be more efficient than traditional planar cells. However, laboratory cells have been limited to efficiencies of less than 6%. Single nanowires of Si or GaAs have efficiencies that are lower still. Here, we use Sentaurus Device, a numerical device simulator, to examine the potential efficiencies of single- and multi-junction (MJ) nanowire solar cells (SCs) consisting of Si, Ge, GaAs, and InGaP, in differing arrangements. In order to check simulation accuracy, devices published in the literature were also simulated, and the simulation parameters adjusted to match real devices as closely as possible, while identifying sources of loss in nanowire SCs. The AM1.5G spectrum was most frequently used.Several types of cells are examined. The first is the “radial cell,” in which the wire has a pn structure grown radially on a core-shell wire. The other is the “vertical” MJ nanowire SC, which mirrors the vertical arrangement of planar MJ SCs, with one absorber stacked on top of another. A single-junction cell usually consists of a hetero- or homo-junction pn radial cell.In addition to simple device design and geometry, Sentaurus is used to identify optimal materials choices, layer widths, and doping levels. In nanowires, surface recombination plays a large role in efficiency, due to the large surface are to volume ratio; the effect of surface recombination in single- and MJ nanowire SCs will be explored. Initial results show that surface recombination is not a dominant factor if traditional surface passivation methods, such as InGaP windows on GaAs, are used. Completely unpassivated surfaces do significantly reduce efficiency, usually to 1-2% or less. The applicability of tunnel junctions to nanowire SCs, as opposed to planar MJSCs exposed to >500 suns concentration, will also examined.Initial results show a lower efficiency for simulated nanowire cells of any geometry, usually ~5%, versus optimal planar designs using similar materials. For InGaP/GaAs multi-junction nanowires, Jsc, Voc, FF, and efficiency are higher than for single-junction GaAs or InGaP nanowire SCs. For simulated GaAs/Ge cells, performance is decreased compared to individual GaAs wires, but is better than Ge nanowire SCs.
10:00 AM - P14.3
Heterogeneous Assembly of Nanowires for Vertically-integrated 3D CMOS Circuits and Multiplexed Biosensor Arrays.
SungWoo Nam 1 , Xiaocheng Jiang 2 , Lu Wang 2 , Donhee Ham 1 , Charles Lieber 1 2
1 School of Engineering and Applied Sciences, Harvard Univ., Cambridge, Massachusetts, United States, 2 Department of Chemistry and Chemical Biology, Harvard Univ., Cambridge, Massachusetts, United States
Show AbstractFlexible bottom-up assembly of distinct nanowire materials with tunable composition and structure will enable the development of novel fabrication strategies and electronics not achievable with top-down approaches. We report the assembly of highly-ordered nanowire arrays by contact-printing with well-controlled shear process between growth and target substrates. We show the capability of uniform and patterned automated contact printing from single chips to a 4-inch wafer scale. Key features of the assembly process that determine the order and density of printed nanowires, as well as patterning strategies will be discussed. We also demonstrate the power of this approach with the demonstration of vertically-interconnected CMOS circuits based on separate assembly and subsequent interconnection of distinct layers of high-mobility n-InAs and p-Ge/Si nanowire field-effect transistors (FETs). Our vertically-interconnected CMOS circuits yielded inverter gains of 45 and ring oscillation at frequencies of ca. 110 MHz, which represents the highest frequency integrated circuit based upon chemically-synthesized nanoscale materials. In addition, we have used this assembly approach to fabricate silicon nanowire multiplexed sensor arrays. The nanowire arrays were obtained by deterministic patterning of sequential contact-printed p-type and n-type nanowires, and yielded a yield of biosensor devices as high as 80 %. Notably, sensing experiments using monoclonal antibody receptors demonstrated multichannel (>10), ultrasensitive (~10 fM) and high-selectivity electrical detection of prostate-specific antigen using large-scale, aligned arrays of complementary SiNWs. The capability of large-scale multiplexed, ultrasensitive monitoring of biomolecules offers substantial promise in application to various medical diagnostics, clinical research and biodefense.
10:15 AM - P14.4
Self-assembly of Powdered and Oriented Titania-based Nanotube Arrays for Solar Photoconversion Applications.
Shankar Muthukonda Venkatakrishan 1 , Nicolas Keller 1 , Valerie Keller 1 , Thomas Cottineau 1 , Sergey Pronkin 1 , Elena Savinova 1
1 Laboratoire des Matériaux, Surfaces et Procédés pour la Catalyse-European Laboratory for Catalysis and Surface Sciences, CNRS-Louis Pasteur University, Strasbourg France
Show AbstractRecently, the synthesis and applications of one-dimensional titanate-based nanostructures in powdered or arrays form in renewable energy technologies has attracted increasing attention. There is a growing awareness that nanostructured materials can provide solutions for a wide range of energy problems including solar electricity, hydrogen generation and fuel cells applications [1]. In photocatalysis, titania nanotubes (TiNTs) showed enhanced photocatalytic efficiency enabled by tubular structure with high charge carriers generation and transfer efficiency. However, together with visible light activation, higher efficiency is challenging task. The fundamental challenges are to develop entangled or oriented, controlled and self-assembled 1D-TiO2-based nanostructures for improving the light absorption, generation and transfer of electrons and specific surface area. Additionally, oriented self-assembled nanostructures bonded with substrate/or detach-transferable to any kinds of substrates, whatever their nature and morphology, are much more encouraging for a broad range of applications. Here, we report on the use of hydrothermal synthesis method for driving both powdered and oriented self-assembly nanoarrays of titanate nanotubes (TiNTs) for efficient H2 production through water-splitting and solar cells applications, respectively. The report will discuss different parts: Hydrothermal synthesis of TiNTs and tuning band-gap by N-doped TiNTs, composite semiconductor (WO3-TiNTs) and oxygen deficient sites. Powdered TiNTs was synthesized by hydrothermal method at 150°C starting from commercial TiO2 (P25 Degussa) suspension (7g of TiO2 powder in 10M NaOH solution) in a teflon fitted steel autoclave. After 24 h of synthesis, the white precipitate was washed consequently with water, dil.HCl solution and ethanol and then dried in vacuum desiccator at 50°C. For band-gap tuning dried TiNTs were mixed with appropriate precursors (urea for N-doping, tungstic acid for WO3) before calcinations. Otherwise, oxygen deficient structure of TiNTs was prepared by calcinations under H2 flow.The oriented TiNTs nanoarrays can be driven by dissolution either of a titanium foil or of a sputtered Ti film in concentrated alkaline solution by tuning both temperature and duration of the synthesis, providing oriented arrays in a spatially confined manner. We demonstrate the possibility of obtaining these oriented arrays strongly anchored to a Ti-based (foil or sputtered film) substrate or detachable in a self-standing way (to eventually further deposit them on a Ti-free substrate) by post-synthesis treatment. The solar photo-conversion efficiencies shown by these TiNTs arrays are also compared with a fluffy powder in a classical Graetzel cell. These oriented TiNTs arrays could be a promising way for a new generation of solar cells or photosensors.[1] Bavykin, D.V., Friedrich J.M., Walsh F.C., Advanced Materials, 18, (2006) 2807
10:30 AM - P14.5
A Portable Multiplexed Biosensing Platform Based on Assembled Complementary Nanowire Transistor Arrays.
Lu Wang 1 , SungWoo Nam 2 , Sirui Zou 1 , Quan Qing 1 , Charles Lieber 1 2
1 Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractWe report a portable multiplexed biosensor platform using large-scale integrated complementary silicon nanowire (SiNW) field effect transistor (FET) arrays for high throughput biological and medical applications. First, we developed a well-controlled contact printing and deterministic patterning method to produce p-type and n-type complementary SiNW FET arrays. This approach yielded arrays with order 100 SiNW FET devices and greater than 80% device yield. The SiNW FET elements also exhibit high-performance with signal-to-noise of ~1000 in solution under sensor measurement conditions. Second, we demonstrate robust, multichannel (>10), complementary detection of the prostate cancer marker prostate-specific antigen (PSA) by homogenous functionalization of the SiNW FET arrays. Key control experiments demonstrate several critical aspects of surface modification necessary for achieving reproducible, high-sensitivity and high-selectivity NW biosensors. Notably, we demonstrate that femtomolar sensitivity and performance stability over at least an 8-day period are obtained with our SiNW biosensor chip integrated onto a disposable printed circuit board (PCB) card. Preliminary experiments of cancer marker detection in blood samples are also discussed. The potential of our NW biosensor PCB cards for portable plug-in systems will be discussed, and moreover, shows substantial promise for bringing our controllable and scalable nanowire biosensor platform from the research laboratory to clinical and point-of-care diagnostic applications.
10:45 AM - P14.6
Interpenetrated Silicon Nanopartical - Silicon Nanowire Structure for Li-ion Anodes.
Liangbing Hu 1 , Hui Wu 1 , Lifeng Cui 1 , Seung Sae Hong 1 , Yi Cui 1
1 , Stanford, Stanford, California, United States
Show AbstractSilicon is an attractive anode material for lithium batteries because it has a low discharge potential and the highest known theoretical charge capacity. Due to its large volume change by 400% upon insertion and extraction of lithium, silicon anodes have limited applications. Our group has demonstrated that silicon nanowires circumvernt these issues. (Chan et al., Nature Nanotech, 2008, 3, 31). However, the mass loading is relatively small, ~ 0.1-0.3 mg/cm2. To increase the mass loading by using the footage area more efficiently, we here infiltrate Silicon nanoparticles onto Si nanowires to form interpenetrated structure. The mass loading increased from 0.3mg/cm2 to 2.2 mg/cm2. 1600 mAh/g discharge capacity is reached at C/5 and cutoff voltage of 0.05V. Minimal fading of capacity is observed after 20 cyclings. Therefore, we effectively increases the areal energy density by a factor of 3-5 with excellent cyclability.
P15: ZnO Nanowires I
Session Chairs
Friday PM, April 09, 2010
Room 2016 (Moscone West)
11:30 AM - P15.1
Polarization-dependent Photoluminescence of Oblique-aligned ZnO Nanowire Arrays.
Cheng-Ying Chen 1 , Jun-Han Huang 2 , Chuan-Pu Liu 2 , Jr-Hau He 1
1 Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei Taiwan, 2 Department of Materials Science and Engineering, National Cheng Kung University, Taipei Taiwan
Show AbstractZnO has a direct band gap of 3.37 eV with a large exciton binding energy (60 meV) at room temperature. Due to the strong binding energy of excitons, ZnO are recognized as a promising material for optoelectronic applications in the UV region. [1–5] One-dimensional nanoscale semiconductor materials have attracted considerable attention due to the ultrahigh surface-to-volume ratio, which results in the tailored electronic and optical properties. [6–8] In this work, we report a polarization-dependent behavior of optical property arising from oblique-aligned ZnO nanowire arrays. The oblique-aligned ZnO nanowires were grown on bent ZnO columns using hydrothermal process and oblique-angle sputtering. The structural characterization of the ZnO nanowires arrays was performed using scanning electron microscopy, transmission electron microscopy, and x-ray diffraction analysis, demonstrating that the direction of the nanowires and c-axis (0002) of ZnO were oblique-aligned. Photoluminescence measurements show that the intensity of deep level emission (DLE) from ZnO nanowires is strongly polarized while near band-edge emission (NBE) shows the weak dependence on polarization. As the electrical field is perpendicular to the c axis of the ZnO, the intensity of DLE (green emission) and NBE (UV emission) exhibits the maximum and minimum, respectively. The correlation between polarized photon and deep level states was discussed. This study paves the way to develop ZnO nanowire-based polarization-LEDs.References[1] H. J. Ko, Y. F. Chen, Z. Zhu, T. Yao, I. Kaobayyashi, and H. Uchiki, Appl. Phys. Lett. 76, 1905 (2000)[2] W. I. Park, Y. H. Jun, S. W. Jung, and G.-C. Yi, Appl. Phys. Lett. 82, 964 (2003)[3] D. M. Bagnall, Y. F. Chen, Z. Zhu, T. Yao, S. Koyama, M. Y. Shen, and T. Goto, Appl. Phys. Lett. 70, 2230 (1997)[4] P. Zu, Z. K. Tang, G. K. L. Wong, M. Kawasaki, A. Ohtomo, H. Koinuma, and Y. Segawa, Solid State Commun. 103, 459 (1997)[5] H. Co, J. Y. Xu, E. W. Seelig, and R. P. H. Chang, Appl. Phys. Lett. 76, 2997 (2000)[6] D. Snoke, Science 273, 1351 (1996).[7] J. D. Joannopoulos, P. R. Villeneuve, and S. Fan, Nature (London) 386,143 (1997)[8] C. W. Chen, K. H. Chen, C. H. Shen, A. Ganguly, L. C. Chen, J. J. Wu, H. I. Wen, and W. F. Pong, Appl. Phys. Lett. 88, 241905 (2006)
11:45 AM - P15.2
Fast Reset ZnO Nanowire UV Sensor.
Jun Zhou 1 2 3 , Gang Bao 3 , Zhong Lin Wang 2
1 Wuhan National Laboratory for Optoelectronics and College of Optoelectronic Science and Engineering, Huazhong University of Science and Technology, Wuhan China, 2 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 Department of Biomedical of Engineering, Georgia Institute of Technology and Emory University, Atlanta, Georgia, United States
Show AbstractUV response of ZnO nanowire nanosensor has been studied under ambient condition. By utilizing Schottky contact instead of Ohmic contact in device fabrication, the UV sensitivity of the nanosensor has been improved by four orders of magnitude, and the reset time has been drastically reduced from ~ 417 s to ~ 0.8 s. By further surface coating with positive charged poly(diallydimethylammonium chloride) (PDADMAC) and negative charged poly(sodium 4-styrenesulfonate) (PSS), the reset-time has been reduced to ~ 20 ms even without correcting the electronic response of the measurement system. These results demonstrate an effective approach for building high response and fast reset UV detectors.[1] Gigantic enhancement in response and reset time of ZnO UV nanosensor by utilizing Schottky contact and surface functionalization, Jun Zhou, Yudong Gu, Youfan Hu, Wenjie Mai, Ping-Hung Yeh, Gang Bao, Ashok K. Sood, Dennis L. Polla, and Zhong Lin Wang, Applied Physics Letter, 2009, 94, 191103.[2] For more information: http://www.nanoscience.gatech.edu/zlwang/
12:00 PM - P15.3
Optical Properties of Doped ZnO Nanowires Obtained by Electrodeposition Method.
Athavan Nadarajah 1 , Robert Word 1 , Rolf Koenenkamp 1
1 Physics, Portland State University, Portland, Oregon, United States
Show AbstractZnO nanowires have been studied over the last decade because of their promising properties for applications in nanoscale optoelectronic devices and waveguides. ZnO is a direct wide bandgap semiconductor and possessing a large exciton binding energy of 60meV, which allows efficient excitonic light-emission processes, even at room temperature. However, efficient device application would require effective doping of these nanowires, which is known to modify their electronic structure and hence the luminescence properties. In this work we report a detailed study of optical and structural properties of ZnO nanowires grown from solution at 80oC, the incorporation of impurities introduced during the growth process and the annealing behavior of selected optical and structural properties. Doping of the ZnO nanostructures was achieved electrochemically using solvable chloride compounds as precursors. Doped zinc oxide nanowires were grown on a transparent, fluorinated SnO2/glass substrate in oxygen-saturated aqueous solutions at 80oC with KCl as a supporting electrolyte and ZnCl2 as the precursor for ZnO. SIMS measurements and TEM-EDX line profiles indicate impurity incorporation and doping in the bulk of the nanowires. In order to measure the room-temperature photoluminescence spectra the third harmonic of a pulsed Nd:YAG laser was used as an excitation source. We have observed that the excitonic luminescence is preferentially guided while the green defect emission is more isotropically emitted. In addition, we performed the room-temperature electroluminescence in a hybrid p-n junction arrangement consisting of a hole-conducting polymer and either annealed or unannealed ZnO nanowires. The observed electroluminescence spectra showed an excitonic emission peak and a defect-related broad emission band in the visible range. After annealing at 380oC the defect related electroluminescence displays a peak shift from 620nm to higher wavelengths. The magnitude of the shifts depends on the type of dopant. However, the defect luminescence band for unannealed samples is virtually same for all dopants. Aluminum incorporation leads to the emergence a narrow excitonic luminescence peak close to the bandgap of ZnO around 393nm. Furthermore, temperature-dependent photoluminescence measurements were carried out on as-grown doped and un-doped ZnO nanowires in the temperature range of 4K-300K using the 325nm line of a He-Cd laser. The comparison of spectra obtained before and after thermal annealing indicates that the electrical and optical activity of impurities change noticeably upon annealing. Strong blue and ultra-violet photo-and electroluminescence are obtained from Al-doped samples annealed at 380oC. The internal quantum efficiency for photoluminescence was measured to be as high as 16% from Al-doped samples annealed at 380oC.
12:15 PM - P15.4
Electrical Transport Phenomena of Single ZnO Nanowire Device Directly Measured Using Nano Manipulator.
Sang Won Yoon 1 2 , Jong-Hyun Seo 1 2 , Tae-Yeon Seong 2 , Kon Bae Lee 3 , Woon Kwon 3 , Jae-Pyoung Ahn 1
1 Nano Materials Analysis Center, Korea Institute of Science and Technology (KIST), Hawolkok-dong, Sungbuk-ku, Seoul Korea (the Republic of), 2 Department of Materials Science and Engineering, Korea University, Seoul Korea (the Republic of), 3 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of)
Show AbstractZnO nanowire (NW) has potential applications for transparent electrodes, gas sensors, nanoscale optoelectronic devices, piezoresponse force microscopy (PFM) and field effect transistors. In general, we have evaluated the electrical properties of nanowire device from I-V curves measured mainly from the bundle-like ensemble structure of ZnO, not individual ZnO NWs. Most applications require details on the electrical mobility of ZnO NWs. Recently, the electrical transport of single ZnO NWs has been studied only from several devices fabricated by electron-beam lithography. However their I-V curves categorized into three types of resistance, i.e., symmetrical, rectifying and linear shapes due to contact problems between ZnO NWs and electrodes, results in contradictory.In this paper, we manufactured single NW device using an individual ZnO nanowire, of which the junctions were made by Pt deposition using a focused ion beam (FIB), and performed RTA processes. The single ZnO NW device consists of ZnO-Pt, ZnO-Au and Au-Pt junctions. The electrical transport of the single ZnO NW device was investigated by directly measuring the electrical resistance using nano manipulators from cross-sectioned devices. The device showed a typical Ohmic contact in I-V curves and the resistance was decrease with the RTA temperature. The CL (Cathodoluminescence) and EDS in TEM (Energy dispersive spectroscopy in transmission electron microscopy) measurements were also performed to evaluate the crystallinity (defect level) and chemical composition at the center and edge of the cross-sectioned ZnO NWs. From the results, we found that lots of defects were stored at the surface of ZnO NW and impurities at the junction were abruptly reduced. Therefore, the electrical transport of the single ZnO NW device depends strongly on the crystallinity of the ZnO NW and the C content at the Pt junction. From the electrical transport measured on the cross sectioned device, the ZnO-Au junction acted as the fastest transport path among ZnO-Pt, ZnO-Au and Au-Pt junctions in the single ZnO NW device.
12:30 PM - P15.5
ZnO Nanowire-based Visible Transparent UV Detectors Fabricated on Flexible Substrates.
Tej Belagodu 1 , Sandwip Dey 2 , Hongbin Yu 1
1 Electrical Engineering, Arizona State University, Tempe, Arizona, United States, 2 School of Materials, Arizona State University, Tempe, Arizona, United States
Show AbstractZnO has recently been explored intensively as a semiconductor material for ultraviolet (UV) detection due to its large direct energy bandgap of 3.4 eV at room temperature. Conventionally, such UV detectors are fabricated on opaque and rigid substrates due to processing convenience. However, UV detectors fabricated on transparent substrates, such as glass or polymer, with both active and contact materials being transparent in the visible spectral range, could enable many novel applications. Such an all-visible transparent UV detector could be attached to windows, or on top of other devices which need visible light. Additional challenge lies in the process compatibility when a polymer substrate is involved, as it would typically not be able to sustain the process temperature typically used for semiconductor device processing. In this work, we report the fabrication of ZnO nanowires UV detectors on polymer substrate using a two-step process: high temperature growth of ZnO nanowires using VLS method followed by low temperature UV detector fabrication on polymer substrate. First, high quality, single crystal ZnO nanowires were grown on Si or sapphire substrate by heating ZnO powder in a tube furnace to around 1000 degree C. This high temperature growth ensures high quality of ZnO nanowires. Such ZnO nanowires were then transferred to device substrate, in this case, pre-baked PEN (poly ethylene 2,6-naphthalate), which is flexible and transparent, through a mechanical transfer technique performed at room temperature. Routine photolithography process was then used to define electrodes, followed by metal deposition and liftoff. Both Au and indium tin oxide (ITO) are used as electrode material. UV detectors fabricated here have metal-semiconductor-metal (MSM) structure with UV detection realized by Ohmic contact photoconductive principle. UV detectors fabricated in this fashion are characterized by shining light with tunable wavelengths ranging from UV to visible while monitoring electrical current through the nanowire devices. It is shown that there is significant current increase through the device under UV illumination whereas no response was seen to incident visible light. Currently, detection sensitivity and enhancement of resolution by surface functionalization of the nanowires are being explored.
12:45 PM - P15.6
Flexible and Transparent Electronics Based on Nanowires.
PoChiang Chen 1 2 , Haitian Chen 1 , Yue Fu 1 , Sanghyun Ju 5 , Antonio Facchetti 4 , Jun Liu 4 , Young-geun Ha 4 , Fumiaki Ishikawa 2 , Hsiaokang Chang 1 , Chao Wu 2 , David Janes 5 , Tobin Marks 4 , Mark Thompson 3 , Chongwu Zhou 1 2 3
1 Mork Family of Chemical Engineering and Material Science, USC, Los Angeles, California, United States, 2 Ming-Hsieh Department of Electrical Eng., USC, Los Angeles, California, United States, 5 School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana, United States, 4 Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, Illinois, United States, 3 Department of Chemistry, USC, Los Angeles, California, United States
Show AbstractThe concept of transparent electronics was first proposed in 1997, and offers the attraction of optical transparency and, in principle, low temperature processing. There are currently numerous research efforts on transparent electronics, due to its great potential to make significant commercial impact. The core technology to realize the transparent electronics requires the development of high-performance transparent thin film transistors (TTFTs), with high device mobilities, low threshold voltages, and steep subthreshold slopes. Currently, TTFTs fabricated with amorphous or polycrystalline transparent conducting oxide (TCO) thin films have been widely studies. However, TTFTs made from these materials usually exhibit rather low mobilities (0.2-120 cm2V-1sec-1) and high threshold voltages (Vth: 10-20 V). Recently, one-dimensional nanostructured materials, including single-walled carbon nanotubes and semiconductor metal oxide nanowires, have been considered as another material choice for TTFT fabrications. In comparison to conventional TCO-based TTFTs, nanomaterials synthesized through a simple chemical vapor deposition method can easily provide high-quality single-crystalline nanostructures, which are highly desirable in most electronic and optoelectronic devices. In addition, nanostructured material-based TTFTs have added advantages, such as versatile compatibility with a variety of device substrates, which thereby extends their applicability to flexible electronics. In considering the enablers of next-generation displays, having good optical transparency and/or mechanical flexibility, high performance transparent and/or flexible TFTs will be essential. Currently, polycrystalline silicon and amorphous silicon are widely used as the “back-panel” electronics for AMOLED displays. However, these back-panels are usually optically opaque, not compatible with flexible substrates, and have drawbacks of low mobilities, high threshold voltages, and in the case of a-Si, poor current-carrying capacity. In this talk, we report the synthesis of undoped and doped In2O3 nanowires, and then fabricate TTFTs by transferring nanowires to glass and PET substrates with pre-patterned transparent gate electrodes and a gate insulator, followed by patterning transparent ITO source and drain electrodes. It will be seen that the as-fabricated nanowire TTFTs perform as typical n-type FETs with a high device mobility (1,490 cm2V-1sec-1 for doped In2O3 TTFTs) and optical transparency of near 81% in the visible wavelength regime. We further examine the AC gain of these nanowire TTFTs. The results indicate good frequency response, ~1.5 kHz with a unity-gain frequency of ~18.8 GHz. Moreover, we then show that these In2O3 nanowire TTFTs can be used to drive organic light-emitting diodes with tunable emitting intensities, including a seven-segment AMOLED display enabled by a nanowire TTFT “back-panel”.
P16: ZnO Nanowires II
Session Chairs
Friday PM, April 09, 2010
Room 2016 (Moscone West)
2:30 PM - **P16.1
Selective Growth of ZnO-based Nanostructures and Their Light Emitting Device Applications.
Gyu-Chul Yi 1
1 Dept. of Physics, Seoul National University, Seoul Korea (the Republic of)
Show AbstractI demonstrate fabrications and characteristics of nanoarchitecture light emitting device (LED) microarrays based on vertically aligned coaxial nanorod/nanotube heterostructures that have quantum well layers on the cylindrical surfaces of nanorods or nanotubes. These nanoarchitecture arrays enable us to take advantage of accurately controlling positions, thicknesses, and compositions of quantum structures embedded in the nanoarchitectures, all of which may be useful for fabricating integrated optoelectronic devices and high-brightness LEDs. The nanoarchitecture LED microarrays consist of GaN-based p–n homojunction heterostructures with GaN/InGaN multi-quantum well structures (MQWs), which are coaxially coated on the entire surface of ZnO nanotube arrays. Using ZnO nanotube arrays as a template, GaN-based p–n homojunction heterostructures with GaN/InGaN MQWs were fabricated. I will also discuss the electrical and optical characteristics of the nanoarchitecture LED microarrays.
3:00 PM - P16.2
Intentional Modification of ZnO Nanowire Growth and Related Electrical Properties.
Kittitat Subannajui 1 , Niranjan Ramgir 1 , Yang Yang 2 , Margit Zacharias 1
1 Nanotechnology, IMTEK Technical faculty, Freiburg Germany, 2 , MPI of Microstructure Physics, Halle Germany
Show AbstractIn the past decade, 1D semiconductor nanostructures have attracted a large amount of interest. Ultra small sized structures might have the advantage of a better device performance, or in case of sensors might have a higher reactivity at the surface, etc. Hence, a reliable growth process is an absolute requirement for semiconductor nanowires. In this paper, we will focus on ZnO nanowires and their controlled growth. Two methods will be used which are VS and VLS growth. The transition between VS and VLS will be demonstrated by using ionic liquids. This ionic-liquid assisted growth technique allows us to obtain a precise growth orientation, shape, and crystal quality. The growth is modeled inside the tube furnace. The CFD simulation demonstrates how the Zn gas is distributed inside the tube furnace, and how the gas flow inside the tube depends on the flow rate. By using the information from CFD, the growth experiment was controlled efficiently by using the advantage of the up-stream distribution of Zn gas. The results confirmed the role of O2 for a complete ZnO nanowire growth. The results give rise to a deeper understanding of growth mechanism. Kleindiek manipulators and field effect characterization are used to electrically characterize ZnO nanowires. Apparently, ZnO nanowires produced by VS have a higher carrier concentration. Implications for applications such as gas sensors, transistors, or heterojunction diodes will be discussed.
3:15 PM - P16.3
MOCVD Growth Mechanism of ZnO Nanorods for UV Emission Devices.
Robin Thierry 1 , Guillaume Perillat-Merceroz 1 2 , Pierre Ferret 1 , Matthieu Lafossas 1 , Francois Levy 1
1 LETI, CEA, Grenoble France, 2 INAC, CEA, Grenoble France
Show AbstractZinc oxide is a very promising material for optoelectronic applications due to its direct band gap of 3.37 eV and its high exciton biding energy (60meV). This material is considered as a challenger to GaN based LEDs for solid state lighting applications. Furthermore, nanorods enable the use of substrates with large lattice mismatch for the growth of defect free material, by relaxing strain on free surfaces of nanorods.In this study, we present results on ZnO nanorods and ZnO/ZnMgO heterostructures grown by catalyst free MOCVD in commercial Epigress reactor using diethylzinc and nitrous oxide as precursors grown onto c-sapphire. SEM images indicate well-aligned ZnO nanorods with uniform diameters, length and density, grown perpendicularly to the substrate surface with c-orientation as confirmed by X ray diffraction measurement.Samples prepared with various deposition times (20s, 1, 2, 3, 5min) are used to investigate the growth mechanism. It is found out that after 20s a thin wetting layer (few nm thick) and nanorods (around dozens of nm long) are presents. In some cases nanorods are surrounded by small pyramids. By pursuing the deposition, we mainly observe the growth of the nanorods. TEM measurements are carried out to gain some insight into the growth as well as the strain relaxation mechanisms Nanorods are of Zn polarity and the surrounding pyramids are of O-polarity. Lateral facets of the hexagonal nanorods are {1-100}, suggesting that surface energies play a role in the nanorod growth. Strain relaxation occurs first in the wetting layer (WL) with the creation of numerous dislocations. These dislocations bend over at the WL / pyramid interface but we do not observe any dislocation in the nanorod itself. We shall discuss the nanorod growth mechanisms in the light of these observations.We also report on the growth of ZnMgO/ZnO radial heterostructure nanowires using two different temperatures for the ZnMgO growth. It turns out that a low temperature is needed to avoid Mg diffusion in ZnO. Furthermore, SEM images and EDX measurement have shown rich Mg clusters at the sidewall of nanowires. Photoluminescence spectra confirm the very good crystalline quality of both ZnO and ZnMgO/ZnO nanorods, with high intensity of the UV near-band-edge peak. Green luminescence band usually observed for ZnO nanorods is not observed on our spectra, demonstrating the low deep defect density.
3:30 PM - P16.4
Programmed Nanowire Synthesis in a Microfluidic Device.
Jaebum Joo 1 2 , Brian Chow 1 , Edward Boyden 1 , Joseph Jacobson 1 , Manu Prakash 1
1 The Center for Bits and Atoms, Media Laboratory, MIT, Cambridge, Massachusetts, United States, 2 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show Abstract We report the synthesis of vertically aligned zinc oxide (ZnO) nanowires in a microfluidic device that allows temporally precise control over key parameters in hydrothermal synthesis and the creation of complex geometries via fluidic control. This technique facilitates rapid screening and optimization of growth conditions, and the in-situ fabrication of morphologically diverse nanostructure-based integrated devices. Using a parallel PDMS-based screening device, we have discovered that the presence of ancillary cations can be used to alter the aspect ratio of ZnO nanowires by preferentially suppressing growth of a particular crystalline plane. We have also determined that optimized conditions in a microfluidic device yields growth rates an order of magnitude greater than in bulk solution, and at much lower temperatures and a minute fraction of the reagent consumption. Lastly, by physically coupling growth and transport dynamics of reactants in a continuous flow microfluidic reactor, we demonstrate the programmed synthesis of complex patterns within enclosed geometries. Thus, this simple yet innovative methodology may lead to in-situ patterned- and synthesized, integrated devices with highly tunable geometrical, electrical and optical properties.
3:45 PM - P16.5
Antimony-doped Zinc Oxide Nanowires Grown from Aqueous Solution.
Fei Wang 1 , Xudong Wang 1
1 Materials Science and Engineering, University of Wisconsin - Madison, Madison, Wisconsin, United States
Show AbstractZinc oxide has gained extensive research interest due to its large bandgap and large exciton binding energy. These unique characteristics give zinc oxide a very strong potential for various short-wavelength optoelectronic device applications. In order to fabricate these devices, both n-type and p-type zinc oxide must be obtained. Zinc oxide is naturally an n-type semiconductor and n-type doping is also relatively easy. However, p-type doping has long been a challenging and controversial problem for zinc oxide researchers. Recently years, antimony has emerged as a promising dopant candidate. An SbZn-2VZncomplex, rather than SbO, was suggested to be an acceptor with low formation energy. Successes in the synthesis of antimony-doped zinc oxide thin films and nanobelts with p-type conductivity have been reported using high temperature methods such as chemical vapor deposition, pulsed laser deposition and molecular beam epitaxy. In comparison with these methods, hydrothermal method has advantages in its low cost, environmental benignancy and mass productivity. Besides, zinc oxide nanostructures can be grown on plastic substrates by hydrothermal method, which is essential for flexible electronics. Despite some efforts, antimony-doped zinc oxide nanowires with high quality and reliable p-type conductivity are still rare. In this work, we report a hydrothermal method to synthesize antimony-doped zinc oxide nanowire arrays. We adopted zinc nitrate and hexamethylenetetramine, which two are common for zinc oxide nanowire synthesis, and antimony acetate as the dopant source. X-ray diffraction, TEM, and selected area electron diffraction analysis confirmed the wurtzite structure of zinc oxide. Energy dispersive X-ray spectroscopy analysis confirmed the presence of antimony in the crystals. This work attributes a way of obtaining high quality antimony-doped zinc oxide nanowires with reliable reproducibility.
P17: Nanowires - Properties and Applications
Session Chairs
Friday PM, April 09, 2010
Room 2016 (Moscone West)
4:30 PM - P17.1
Fabrication and Optical Characteristics of Vertical Aligned ZnCdO/ZnO Coaxial Nanowires MQW Heterostructures.
Chuanwei Cheng 1 , Bo Liu 1 , Edbert Sie 1 , Weiwei Zhou 1 , Alfred Cheng Hon Huan 1 , Handong Sun 1 , Tze Chien Sum 1 , Hongjin Fan 1
1 Physics and Applied Physics, Nanyang Technological University, Singapore Singapore
Show AbstractZnO based quantum structures are ideal candidate for optic-electronic devices which require both electrical and optical confinement. In this study, high quality vertical aligned radial ZnCdO/ZnO coaxial multi-quantum-well (MQW) nanowire heterostructures arrays are fabricated for the first time by combining a simple chemical vapour deposition and pulse laser deposition method. The ZnO nanowire core enables epitaxial and dislocation-free growth of uniform (ZnCdO/ZnO) quantum wells, as revealed by electron microscopy. Photoluminescence (PL) properties of the pristine ZnO and MQW nanowires are performed as a function of temperature, which illustrates a strong quantum confinement and carriers localization effect. In addition, we observe an anomalous temperature dependence of the ZnCdO QW-related emission which arises from inhomogeneous Cd distribution and carrier localization in the MQWs. The recombination and relaxation kinetics in MQWs are investigated by ultrafast time-resolved PL (TRPL) spectra from 10 K-300 K. A simple phenomenological model is introduced to explain the anomalous behaviour. Such ZnCdO/ZnO coaxial nanowire quantum well structures might find applications in nanoscale laser source and other oxide-based quantum devices.
4:45 PM - P17.2
Gas-sensing Properties of Defect-controlled ZnO-nanowire Gas Sensor.
Myoung-Won Ahn 1 , Kyung-Soo Park 1 , Jeong-Hoon Heo 1 , Jae-Gwan Park 1 , Dong-Wan Kim 1 , Kyoung Jin Choi 1
1 Nano-Materials Research Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of)
Show AbstractLike any other semiconductors, deep levels (DLs) affect the electrical and optical properties of ZnO as well. For example, resident and metallization-induced native defects were reported to severely degrade the potential barrier height and ideality factor of metal-ZnO Schottky diodes, acting as a conducting path for charge carriers. For optical applications of ZnO, DLs responsible for so-called green luminescence (GL) have been one of the obstacles for realizing ZnO light emitters. GL is generally accepted to be related to VO. In spite of numerous reports on the effects of DLs on optical and electrical properties of ZnO-based devices, there have been no satisfactory reports on the correlation between DLs and gas-sensing properties. In this talk, the effect of oxygen-vacancy-related defects on gas-sensing properties of ZnO-nanowire gas sensors will be addressed. Gas sensors were fabricated by growing ZnO nanowires bridging the gap between two pre-patterned Au catalysts. The sensor displayed fast response and recovery behavior with a maximum sensitivity to NO2 gas at 225 °C. Gas sensitivity was found to be linearly proportional to the photoluminescence intensity of oxygen-vacancy-related defects in both as-fabricated and defect-controlled gas sensors by post-annealing in Ar and H2 atmosphere. This result agrees well with previously-reported theoretical prediction that oxygen vacancies play a role of preferential adsorption sites for NO2 molecules.
5:00 PM - P17.3
Size-dependent Reset Time of a Single ZnO Nanowire Photodetector.
Ming-Wei Chen 1 , Cheng-Ying Chen 1 , Jr-Hau He 1
1 Institute of Photonics and Optoelectronics, & Department of Electrical Engineering, National Taiwan University , Taipei Taiwan
Show AbstractA single ZnO nanowire (NW) photodetector was fabricated. A size-dependent surface recombination dominates the reset behavior, in which the recovery of current after removing illumination is dependent on NW size. By Fermi-level pinning under ambient condition, a depletion layer is formed at NW surface and depletes the NW significantly due to its high surface-to-volume ratio. The size-dependent surface barrier is decreased as NW size is decreased under the assumption that NW is completely depleted. After removing illumination, the remaining electrons in the core of the NWs surpass the low surface barrier in small NW and recombine with trapped holes at the surface with ease, leading to the fast reset time. This demonstration paves the way for fabricating ultra-sensitive NW-based photodetectors.
5:15 PM - P17.4
Photoresponse Properties of Polycrystalline CdSe Nanowires Photodetectors.
Sheng-Chin Kung 1 2 , Wytze van der Veer 1 2 , Fan Yang 1 2 , Keith Donavan 1 2 , Reginald Penner 1 2
1 Department of Chemistry, University of California, Irvine, Irvine, California, United States, 2 Institute for Surface and Interface Science, University of California, Irvine, Irvine, California, United States
Show AbstractThe photodetectors are fabricated from polycrystalline cadmium selenide (CdSe) nanowires, which are prepared using the Lithographically Patterned Nanowire Electrodeposition (LPNE) method. The horizontally aligned CdSe nanowires are produced via LPNE process on a glass substrate with a constrained height and the variable widths. The photoconductive characteristics of CdSe nanowires, including band-edge features and spectral response, clearly reveal the sensitivity toward the onset excitation energy at ~ 1.7 eV, which corresponds with the bandgap of CdSe. The device exhibits superb stability and a reversible photoconductivity response to visible light in a wide range of switching frequencies (up to 3,940 Hz) in air. The typical response speed acquired from polycrystalline CdSe nanowires is less than 100 microseconds, which is significantly improved compared with that of single crystalline ones by two orders of magnitude. The response speed is substantially enhanced due to the polycrystalline nature of the CdSe nanowires. The grain boundaries and inherent defects effectively act as either trapping or recombination centers to expedite the electron-hole recombination process and enhance the response speed of the fabricated photodetectors.
5:30 PM - P17.5
Giant Zeeman Splitting in Nucleation-controlled Doped CdSe:Mn2+ Quantum Nanoribbons.
Jung Ho Yu 1 , Xinyu Liu 2 , Kyoung Eun Kweon 3 , Taeghwan Hyeon 1 , Gyeong S. Hwang 3 , Jacek Furdyna 2
1 School of Chemical and Biological Engineeing, Seoul National University, Seoul Korea (the Republic of), 2 Department of Physics, University of Notre Dame, Notre Dame, Indiana, United States, 3 Department of Chemical Enginering, University of Texas Austin, Austin, Texas, United States
Show AbstractDoping of semiconductor nanocrystals by transition metal ions has increasingly been attracting attention because of their potential applications in nanoscale spintronics. Such doping is, however, difficult to achieve in one-dimensional strongly quantum-confined nanostructures by conventional growth procedures, because of the low probability of dopant incorporation during the growth process. Here we demonstrate, however, incorporation of manganese ions up to 10 % into CdSe quantum nanoribbons can be readily achieved by the nucleation-controlled doping process. In contrast to standard doping strategies, cation exchange reaction of (CdSe)13 clusters with Mn2+ ions governs the Mn2+ incorporation during the nucleation stage. This highly efficient Mn2+ doping of the CdSe quantum nanoribbons, results in giant exciton Zeeman splitting with an effective g-factor of ~600, the largest value seen so far in diluted magnetic semiconductor nanocrystals. The nucleation-controlled doping strategy demonstrated in this work thus opens possibility of doping various strongly quantum-confined nanocrystals for diverse applications.