Symposium Organizers
Alain Pignolet Institut National de la Recherche Scientifique (INRS) /
Universite du Quebec
Hariharan Srikanth University of South Florida
Lionel Vayssieres National Institute for Materials Science
Anke Weidenkaff EMPA - Swiss Federal Laboratories for Materials Testing and Research
N1: Oxide Nanostructures and Heterostructures I
Session Chairs
Tuesday PM, April 06, 2010
Room 2001 (Moscone West)
9:30 AM - **N1.1
Toward Self-powered Nanosystems using Piezoelectric Nanowires.
Zhong Wang 1
1 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractDeveloping novel technologies for wireless nanodevices and nanosystems are of critical importance for sensing, medical science, defense technology and even personal electronics. It is highly desired for wireless devices and even required for implanted biomedical devices to be self-powered without using battery. Therefore, it is essential to explore innovative nanotechnologies for converting mechanical energy (such as body movement, muscle stretching), vibration energy (such as acoustic/ultrasonic wave), and hydraulic energy (such as body fluid and blood flow) into electric energy that will be used to power nanodevices without using battery [1]. We have demonstrated an innovative approach for converting nano-scale mechanical energy into electric energy by piezoelectric zinc oxide nanowire (NW) arrays. The operation mechanism of the electric generator relies on the unique coupling of piezoelectric and semiconducting dual properties of ZnO as well as the elegant rectifying function of the Schottky barrier formed between the metal tip and the NW [2]. Based on this mechanism, we have developed DC nanogenerator driven by ultrasonic wave in bio-fluid [3], textile fibers for energy harvesting [4] and fully packaged AC nanogenerator [5.6]. The output of the integrated nanogenerators has been raised to 1.2 V. It has been demonstrated to drive nanosensors, which is a key step toward self-powered nanosystems [1]. [1] Z.L. Wang “Self-powering nanotech”, Scientific American, 298 (2008) 82-87.[2] Z.L. Wang and J.H. Song, Science, 312 (2006) 242-246.[3] X.D. Wang, J.H. Song J. Liu, and Z.L. Wang, Science, 316 (2007) 102-105.[4] Y. Qin, X.D. Wang and Z.L. Wang, Nature, 451 (2008) 809-813.[5] R.S. Yang, Y. Qin, L.M. Dai and Z.L. Wang, Nature Nanotechnology, 4 (2009) 34-39.[6] Z.L. Wang “Towards self-powered nanosystems: from nanogenerators to nanopiezotronics” (feature article), Advanced Functional Materials, 18 (2008) 3553.[7] R.S. Yang, Y. Qin, C. Li, G. Zhu, Z.L. Wang “Converting Biomechanical Energy into Electricity by Muscle/Muscle Driven Nanogenerator”, Nano Letters, 9 (2009) 1201 - 1205.[8] for details: www.nanoscience.gatech.edu/zlwang
10:00 AM - **N1.2
Heteroepitaxy of Complex Oxides With Natural Superlattice Structure.
Hiromichi Ohta 1 2 , Kenji Sugiura 1 , Kunihito Koumoto 1 , Kenji Nomura 3 , Hidenori Hiramatsu 3 , Masahiro Hirano 3 4 , Hideo Hosono 3 4
1 , Nagoya University, Nagoya Japan, 2 , JST-PRESTO, Kawaguchi Japan, 3 , JST-ERATO-SORST, Yokohama Japan, 4 , Tokyo Institute of Technology, Yokohama Japan
Show AbstractComplex oxides with a layered structure may exhibit exceptional properties originating from the spatial confinement of conductive electrons or holes in the two-dimensional layer, which is similar to that in a superlattice in semiconductors. Thus, such a structure may be regarded, in a sense, as a “natural superlattice”. Natural superlattice is of great importance for the realization of next generation electronics; “oxide electronics”. In order to fully utilize intrinsic potential of natural superlattice, single-crystalline or highly oriented epitaxial film of complex layered oxides is absolutely essential. Here we review high-quality epitaxial film growth of several natural superlattice oxides such as InGaO3(ZnO)m [1-3], LaCuOS [4], NaxCoO2 [5] and Ca3Co4O9 [6] by the reactive solid phase epitaxy (R-SPE) method [1], where a bilayer laminate composed of a thin epitaxial layer of simple oxide or metal grown on a substrate and a polycrystalline layer or powder source is thermally annealed at high-temperatures (~ 1000°C). The solid-state chemical reaction at high-temperatures leads to the formation of the thin single-crystalline layer on the substrate, which may act as “an epitaxial template” for successive homoepitaxial growth of the film. [1] H. Ohta et al., Adv. Funct. Mater. 13, 139 (2003).[2] K. Nomura et al., Science 300, 1269 (2003).[3] T. Katase et al., Mater. Sci. Eng. B 161, 66 (2009).[4] H. Hiramatsu et al., Appl. Phys. Lett. 81, 598 (2002).[5] H. Ohta et al., Cryst. Growth Des. 5, 25 (2005).[6] K. Sugiura et al., Appl. Phys. Lett. 89, 032111 (2006).
N2: Nanoelectronics I
Session Chairs
Bodh Mehta
Avner Rothschild
Tuesday PM, April 06, 2010
Room 2001 (Moscone West)
11:00 AM - **N2.1
Interfacial Electron Transfer at Semiconductor Quantum Dots/Metal Oxide Nanostructure.
Yasuhiro Tachibana 1 2 3
1 Applied Chemistry, Osaka University, Osaka Japan, 2 School of Aerospace, Mechanical and Manufacturing Engineering, RMIT University, Melbourne, Victoria, Australia, 3 PRESTO, Japan Science and Technology Agency (JST), Saitama Japan
Show AbstractMetal chalcogenide semiconductor quantum dots, QDs, have received remarkable attention due to their unique electronic and optical characteristics, which are rarely observed in organic compounds. Particular importance focuses on the modulation of their band gap energy with size variation, termed “quantum size effect”, here their size is typically reduced to less than 10 nm in dimension, irrespective of their constant chemical composition. In addition, QDs exhibit large absorption coefficients (>105 M-1 cm-1), and absorb light when the energy is higher than the band gap energy, allowing QDs to be used as light absorbers.Sensitization of wide band gap semiconductors, e.g. TiO2, ZnO, and SnO2, by the QDs is one of the most advantageous concepts for solar energy conversion devices. The potential energy levels of QD conduction and valence bands can be adjusted by controlling the QD size.[1,2] Therefore, an appropriate size can be tuned to optimize efficient electron injection and slow charge recombination between a QD and a metal oxide. In contrast, fundamental mechanisms for the factors controlling the interfacial electron transfer reactions in QDs sensitized semiconductor electrodes is still limited. In this talk, elucidation of relationship between the interfacial nanostructures and the electron transfer rates is presented.[3,4]Charge recombination decays at CdS QD/metal oxide nanostructure interface were investigated by home-built transient absorption spectrometers monitoring sub-microsecond to millisecond transient absorption kinetics. QD size was altered to observe the influence of the QD size on the interfacial kinetics. The details of the analysis and the relationship of the interfacial kinetics with the solar cell performance will be discussed.I am extremely grateful for the financial supports of JST PRESTO program, Japan, TEPCO Research Foundation, Japan and the Venture Business Laboratory, Osaka University.[1] Y. Tachibana, et al., Chem. Lett., 36(1), 88-89 (2007).[2] Y. Tachibana, et al., Proc. SPIE (Invited), 6340 (Solar Hydrogen and Nanotechnology), 634014/1-634014/13 (2006).[3] Y. Tachibana, et al., J. Phys. Chem. C, 113 (16), 6852-6858 (2009).[4] Y. Tachibana, et al., J. Phys. D: Appl. Phys., 41(10), 102002/1-102002/5 (2008).
11:30 AM - **N2.2
Solution-based Routes to Energy Materials; Oxide Semiconductors and Metal-in-ceramic Composites.
Gunnar Westin 1
1 Materials Chemistry, Uppsala University, Uppsala Sweden
Show AbstractSolution based processing routes to complex nano-structured materials presently receive much attention due to the possibilities of preparing very unique and high quality materials of various shapes and complexities. These often direct and simple routes allow for easy technological exploitation without the use of complex and size limiting vacuum chambers. Here we describe different solution based synthesis routes based on alkoxides and metal salts targeting complex nano-structures with relevance to the energy sector:Oxide semiconductors. The preparation of transition metal-ion doped, duplex phase and core-shell nano-structured oxide semi-conductors based on TiO2, WO3 and ZnO will be described with a focus on the processing and the strong influence of the precursors and heat-treatment on the materials structures and contents. Elucidation of the phase development on heating to yield the target materials was made with a combination of techniques such as; TGA, DSC/DTA, SEM, TEM, XRD, XAS, XPS, IR-, Raman and UV-Vis-NIR spectroscopy. The photo-catalytic and magnetic properties were investigated in some detail: Different TiO2 phases and particle sizes were compared and from these studies, a TiO2 photo-catalyst ca 15 times more active than P25 was obtained. In addition, doped titania catalysts showed a high and sustained photo-catalytic activity which is not the case for pure titania. ZnO:CoO films and powders were obtained with up to 15-20 mol% Co via a purely alkoxide based route, while conventional metal-organic routes allow only for a doping of 6% CoO. However, even with such high Co2+ doping levels we did not observe any high temperature ferromagnetism.Metal-in-ceramic matrix composites. Composites of nano-sized metal inclusions in ceramic matrixes are of great interest for many technological applications, e.g. catalysis, carbon nano-tube growth, magnetic response materials, resistors, memories, light-weight structural ceramics, durable coatings and spectrally selective solar heat-absorbers. Here we report on a low cost solution based route to homo- and heterometallic nano-inclusion materials of M-Al2O3 with M = Co, Ni, Cu and alloy inclusions. The composites having controllable metal particle sizes down to below 5 nm and metal particle loadings up to over 80% were prepared both as thin films and porous powders. Spectrally selective solar heat absorbers with record high efficiency of; absorptnace of 0.97 and emmittance of 0.05 at 100oC were obtained with the route. The glossy black coatings are appealing and mechanically and chemically very durable which allows for unprotected architectural applications. In addition, highly porous composites were successfully tried out for catalysis of the methane reforming reaction: CH4 + CO2 to 2H2 + CO, producing carbon-free hydrogen.
12:00 PM - N2.3
An Epitaxial Transparent Conducting Perovskite Oxide: Double-doped SrTiO3.
Wolter Siemons 1 , Jayakanth Ravichandran 2 , Herman Heijmerikx 3 , Mark Huijben 3 , Arun Majumdar 4 , R. Ramesh 1
1 Physics, University of California at Berkeley, Berkeley, California, United States, 2 Applied Science and Technology, University of California at Berkeley, Berkeley, California, United States, 3 Faculty of Science and Technology and MESA+ Institute for Nanotechnology, University of Twente, Enschede Netherlands, 4 , Department of Energy, Washington DC, District of Columbia, United States
Show AbstractSrTiO3 is one of the most used semiconducting oxides and its transport properties can vary between those of a band insulator and a metal by doping it appropriately. Electron doping SrTiO3 can be done by Sr substitution with La, Ti substitution with Nb, or the creation of oxygen vacancies for example. We have focussed on the impact of La and oxygen vacancy doping together and have determined how they influence the transport, bandstructure and optical properties in thin films grown by pulsed laser deposition. We show through Vis-UV spectroscopy, photoluminescence, and photoemission experiments that we can change the position of the defect band within the bandgap. Furthermore, by controlling the filling of the defect and conduction bands we can influence the optical properties of the films and create thin films which are still conducting, but optically transparent.
12:15 PM - N2.4
Engineering Defects in Metal Oxide Thin Films: Microstrain and Ionic Conductivity.
Jennifer L.M. Rupp 1 , Anja Bieberle-Huetter 1 , Ludwig Gauckler 1
1 , ETH Zurich, Zurich Switzerland
Show AbstractToday`s technologies to prepare nanocrystalline metal oxide thin films can be separated into two major areas: (i.) plasma-based techniques depositing from sintered ceramic targets like PLD or RF-sputtering [1], and (ii.) precipitation techniques based on aqueous or organic precursors such as spray pyrolysis, sol-gel, spin coating or CVD [2]. The major difference between both classes of thin film processing is the ability to order atoms during deposition. Whereas mostly crystalline films are deposited in case of the plasma-based thin film methods, purely amorphous films with a high degree of disorder “microstrain” are deposited for the precipitation thin film techniques [3]. Microstrain can be referred as an indirect measure for the degree of disorder in a metal oxide: the amount of lattice distortions and defects, affecting the bond length in the metal oxide. Recently there is strong evidence in literature that metal oxides thin films of the CaF2-structure type such as doped ceria or zirconia show a strong oxygen ion conduction dependency on processing [4, 5]. In this work we study the influence of the initial processing - precipitation versus vaccuum methods - on the “microstructural defect state” of amorphous to crystalline zirconia- and ceria-based thin films. Its impact on crystallization and grain growth kinetics, and the oxygen ion conductivity will be discussed. It was found that fully crystalline films strongly differ in microstrain states depending on their processing, and that their activation energy of electric conductivity is affected. First studies on the development of ion conductivity during crystallization of zirconia- and ceria-based electrolytes will be presented. Guidelines for microstructure engineering of amorphous to crystalline electrolyte thin films for mciro-Solid Oxide Fuel Cells will be given [6].
12:30 PM - N2.5
Local Electromechanical Properties of ZnO Thin Films Prepared by RF-plasma Assisted Pulsed Laser Deposition Method.
I. Bdikin 1 , J. Gracio 1 , R. Ayouchi 2 , R. Schwarz 2 , Andrei Kholkin 3
1 Dept. of Mechanical Engineering, TEMA, University of Aveiro, Aveiro Portugal, 2 Physics Dept., Technical University of Lisbon, Lisbon Portugal, 3 Dept. of Ceramics and Glass Engineering, CICECO, University of Aveiro, Aveiro Portugal
Show AbstractThin films of zinc oxide (ZnO) were deposited on uncoated and zinc-coated Corning glass substrates by pulsed laser deposition (PLD). X-ray diffraction measurements revealed that the as-deposited thin films are polycrystalline having preferential orientation along the [0002] and [10-11] directions. Transmittance spectroscopy verified that the as-deposited films are transparent with a direct band gap of about 3.28 eV at room temperature. Piezoresponse imaging and local hysteresis loop measurements ((loop acquisitions)) were done to characterize the piezoelectric and possibly ferroelectric properties of the films. The out-of-plane (effective d33) and in-plane (effective d15) coefficients for local piezoresponse are ~ 10 pm/V and ~ 4 pm/V, respectively. Measurements of three components of piezoresponse, one out-of-plane (OPP) and two in-plane (IPP) signals, allowed constructing 3D polarization maps reflecting the polycrystalline nature of the films. The IPP and OPP piezoresponse signal distribution is analyzed based on the texture of the films. Shifted piezoresponse hysteresis loops under high voltages hint to the possible ferroelectricity as discussed recently by Tagantsev [1].[1] A. K. Tagantsev, Appl. Phys. Lett. 93, 202905 (2008).
12:45 PM - N2.6
Annealing Effects on Electrical Properties and Defects of CaCu3Ti4O12 Thin Films Deposited by Pulsed Laser Deposition.
Guochu Deng 1 , Paul Muralt 1
1 , EPFL, Lausanne Switzerland
Show AbstractThe colossal dielectric constant (CDC) material, CaCu3Ti4O12 (CCTO) still attracts scientific interests due the unsatisfying elucidation of the CDC phenomenon. CDC behavior has been observed in various CCTO morphologies, from ceramics to single crystals to thin films, regardless of the producing methods. As for the origin of the CDC phenomenon in CCTO, various research groups claimed different physical mechanisms in bulk ceramics, the most likely being the formation of grain boundary capacitors. Recently, we found evidence for a semiconducting p-type behavior of the bulk of CCTO thin films, combined with a high depletion layer capacitance at the electrode-CCTO thin film interface. This explains the CDC phenomenon in absence of grain boundaries. The relatively large optical band gap of over 2.8 eV would not allow semiconductivity at room temperature and even below room temperature if the material were intrinsic. This means that there are rather shallow defect levels in the bulk of crystalline CCTO. These defect levels must be related to the activation energies for conduction that were observed in the range of 0.08 to 0.1 eV. The question thus arises, how such unintentional defects are formed even when processing the material at high temperatures. We found that the CDC phenomenon is suppressed by annealing CCTO thin film samples in oxygen. This indicated that annealing is an effective way to reduce defect concentration or remove defects. Moreover, the true “intrinsic” dielectric response of the perfect CCTO crystal should be measured as an important reference for the future research. In this paper, we present a systematic study of semiconductor and dielectric properties as a function of annealing treatments at CCTO thin films deposited by pulsed laser deposition. The thin films were deposited by pulsed laser deposition at 720 °C in 200 mTorr oxygen. The as-deposited thin film samples contain a high concentration of defects that contribute to the semiconductivity in the interior of grains. With increasing annealing temperature, the apparent dielectric constant decreases, and the resistance increases, both at a given temperature (e.g. room temperature). After annealing at 680oC, the semiconductivity was almost completely suppressed and CaCu3Ti4O12 behaved as a dielectric material. Knowing that oxygen vacancies are removed during annealing, one can infer that the dopant states are related to oxygen vacancies. A double plateau behavior in the dielectric constant vs temperature graph indicates that there are at least two defect levels in CaCu3Ti4O12 thin films. This can be confirmed by simulating the capacitance response of a Schottky barrier containing two defect levels. The finally achieved quasi intrinsic material exhibits a negative temperature dependency of the dielectric constant below - 150 °C, possibly indicating a magneto-dielectric coupling.
N3: Oxides for RF and Microwave Applications
Session Chairs
Paul Muralt
Alain Pignolet
Tuesday PM, April 06, 2010
Room 2001 (Moscone West)
2:30 PM - **N3.1
Multiferroic Heterostructures and Their Applications at Microwave Frequencies.
Vincent Harris 1 , Yajie Chen 1 , Anton Geiler 1 , Scott Gillette 1 , Jianwei Wang 1 , Zhaohui Chen 1 , Peng He 1 , Jinsheng Gao 1 , Soack Yoon 1 , Carmine Vittoria 1
1 , Northeastern University, Boston, Massachusetts, United States
Show AbstractMultiferroic materials have been shown to exist as both single phase as well as heterostructures with the latter as either composites or multilayered constructs. Here we review recent advances in the design, fabrication, characterization, and refinement of multiferroic heterostructures with emphasis on their application at microwave frequencies. We explore the use of highly magnetostrictive elements in conjunction with piezoelectric substrates including the use of Terfenol-D®, Metglas®, cobalt ferrite, and FeCo alloys, among others, and discuss the merits of each. The evolution of these constructs as voltage tunable microwave devices and flux generators and their integration with preferred microwave semiconductor substrates represent a potentially disruptive advance in multifunctional microwave monolithic integrated circuits.
3:00 PM - **N3.2
Functional Oxide Thin Films for Telecommunication Application.
Hartmut Gundel 1 , Caroline Borderon 1 , Raphael Renoud 1 , Raynald Seveno 1 , Dominique Averty 1 , Sabrina Pavy 1
1 IREENA, University of Nantes, Nantes France
Show AbstractToday’s information and communication society is strongly correlated to an important amount of data to be transferred, requests integration of an ever growing number of different services in one single communication device, and demands a high degree of mobility of such terminals. Miniaturization of embarked systems thus presents a main issue for the academic and industrial research in the telecommunication sector. While conventionally silicon based semi-conductor electronic circuits have already attaint a very high level of integration, miniaturization of the periphery components like antennas, allowing data communication between the terminal and the outer world, is still in the beginning. Functional oxide thin films have already proven their application in microelectronic devices, as for example the non-volatile ferroelectric memory. The interest for the conception of innovating telecommunication terminals is twice: the high-k character allows miniaturization of a component by area reduction when replacing the classic dielectric; the tunability of the permittivity by an bias electric field of certain of those functional materials makes available antennas with reconfigurable characteristics, thus allowing reduction of the total number of antennas necessary within a terminal. This is of particular interest in the context of the today’s digital terrestrial television standard which liberates certain frequency bands formerly used under the Hertzian norm. The present paper focus on the different research and development issues related to antenna miniaturization by the use of functional oxide thin films. Fundamental material structural and dielectric properties are discussed and the related characterization techniques are presented. More technological aspects like substrates, film thickness, electrodes, and integration are addressed, taking particularly into consideration the constraints arising from the specifications for hyper frequency use of the functional material. Finally, some recent antenna realizations are presented.The work is performed in the frame of a French national research program and is part of the cluster “Image & Reseaux” which brings together players from information, telecommunications and audio-visual technologies, established in Brittany and Pays-de-la-Loire French regions. Jointly, they design the key technologies, products and services that best suit new media networks and uses in the ICT sector.
3:30 PM - N3.3
Study of Tunability of BST With Different Structures for Microwave Applications.
Sebastien Pacchini 1 2 , Heba B. El-Shaarawy 1 2 , Badreddine Ouagague 1 2 , Sandrine Payan 3 , Anthony Rousseau 3 , Mario Maglione 3 , Robert Plana 1 2
1 , CNRS;LAAS, Toulouse France, 2 , Université de Toulouse; UPS, INSA, INP, ISAE;LAAS, Toulouse France, 3 , ICMCB ; CNRS; Université de Bordeaux, Bordeaux France
Show AbstractNowadays, wireless communication systems such as mobile communication systems, satellites, ‘‘GPS’’ and Bluetooth require high quality tuned RF performance, miniaturization, reliability and excellent temperature stability. The integration of ferroelectric material within certain devices could give a great impact towards miniaturization of wide tunable radio frequency ‘‘RF’’ components.This paper addresses the study of barium strontium titanate material (Ba0,6Sr0,4TiO3; BST) for microwave application. Thin films of BST were deposited by rf magnetron sputtering onto different substrates (Si/Pt, Si/SiO2, Si/Si3N4) with a thickness of 300 nm; they are well crystallized, dense and polycrystalline. The characterizations of BST materials at low frequencies and microwaves frequencies will be presented, which aim at measuring its dielectric properties. Three structures are studied: The metal-insulator-metal (MIM) capacitors, coplanar waveguides (CPWs) lines and interdigital capacitors (IDC). The structures are fabricated by lift-off step. An insulation laser is developed to define the pattern over the BST and then deposed the gold (1 µm) layer. This method has interested to simplify the fabrication of components with a small sample (2 cm by 2cm) and allowed to obtain the high resolution (1 µm). At low frequencies, the dielectric constant and the loss tangent are extracted through measurement of MIM capacitor using different areas and shapes. An equivalent model of a series capacitor (Cs) and resistor (Rs) is used to extract the characteristic of BST layer. The dielectric constant exhibits a tunability of 60% (300 to 100) at different bias points ranging from 0 to 30 V. Moreover, the loss tangent is approximated in the range of 0.027 to 0.005. At high frequencies, two structures of the BST thin film with the different dielectric layers (SiO2 and Si3N4) over high resistivity silicon wafer are studied. The CPW lines for the measurement as a function of frequency in microwave range (1 to 60 GHz) and IDC for the measurement at different bias points ranging from 0 to 20 V over the frequency range of 1-10 GHz. For the coplanar wave guide, we used the multiline thru-reflect-line (TRL) method based on CPW lines of different length, this method is used to verify the behavior of loss tangent ‘‘tan δ’’ and the relative effective dielectric constant ‘‘εreff’’ without bias voltage. A low deviation (< 5%) is observed for dielectric layers in all frequency range, loss tangent (0.03) is same order that the measurements at low frequency. For IDC structure the capacitance is measured at different bias (0 to 20 V) and a tunability of 50% is observed over the frequency range 1 – 10 GHz. All results will be presented in the full length paper.
3:45 PM - N3.4
Integration of Highly Tunable (Ba,Sr)(Ti,Zr)O3 Based Heterostructures on Si for Microwave Applications.
Jerome Wolfman 1 , Joe Sakai 1 , Cecile Autret 1 , Antoine Ruyter 1 , Kesava Yellareddy 1 , Guozhen Liu 1 , Jie Qiu 1
1 LEMA UMR CNRS 6157, Université François Rabelais, Tours France
Show Abstract BaxSr1-xTiO3 (BST) based materials are potential candidates for microwave applications relying on their voltage tunable high dielectric permittivity. However high frequency applications require BST thin films to be sandwiched between highly conductive metal electrodes and integrated onto silicon. This leads to a polycrystalline structure of the dielectric films correlated to lessen performances compared to their bulk counterparts. Understanding the structure-properties relationship and its modulation by chemical doping and / or ordering is a possible route to optimized thin films dielectric performances. In this work we grew Ba1-xSrxTi1-yZryO3 composition spread thin films and multi-layers libraries by combinatorial pulsed laser deposition. Electrodes promoting either epitaxial growth with various strain state (on SrTiO3), or polycrystalline growth (on Si) were used. Thin films structures were characterized by X-rays diffraction, TEM, EDX, and near field microscopy, while electrical properties of a few thousands capacitors with parallel plates structure were statistically studied. X-rays diffraction and EDX showed an effective control of the phase and stoichiometry across the libraries. Continuous variations of dielectric permittivity (ε), tunability (T), losses (tan δ) and dc leakage current were mapped, showing the existence of local minima and maxima. The compounds giving the best dielectric behaviours were identified, and their growths on IrO2 and Pt coated Si substrates optimized. A particular emphasis has been placed on thermal budget reduction for integration purposes. Substrate temperatures below 600°C were used combined either to a low ozone deposition pressure or to a deposition assisting ion beam (IBAD). Tunabilities of 70% and 90% at 5V were obtained for homogeneous dielectric layers and multi-layers respectively, with tan δ = 0.02 and a figure of merit (T/tan δ) > 3500 at 100 kHz. Measurements of these parameters at GHz frequency are underway, and will be presented.
N4: Magnetic Oxides I
Session Chairs
Arup Raychaudhuri
Hariharan Srikanth
Tuesday PM, April 06, 2010
Room 2001 (Moscone West)
4:30 PM - **N4.1
Giant AC Electrical Response of La0.7Sr0.3MnO3 in Sub-kilogauss Magnetic Fields.
Mahendiran Ramanathan 1 , Vinayak Bharat Naik 1 , Alwyn Rebello 1
1 Department of Physics, National university of Singapore, Singapore Singapore
Show AbstractAbstractWe report the electrical and magnetotransport behavior of theferromagnetic metallic oxide, La0.7Sr0.3MnO3, in response to radio frequency current passing through the sample. We have studied the temperature dependence of the ac resistance (R) and inductive reactance (X) under different dc bias magnetic fields (H = 0-1 kOe) for different frequencies (f) of radio frequency current from f = 0.1 to 5 MHz. The zero field R, which decreases smoothly around the Curie temperature TC for f = 100 kHz, transforms into a peak for f = 0.5-5 MHz. The peak decreases in amplitude, broadens and shifts downward in temperature as the bias field increases and the peak is completely suppressed under H = 1 kOe when f = 0.5 MHz. The ac magnetoresistance and magnetoinductance exhibit a peak close to the TC. A huge low-field ac magnetoresistance (ΔR/R = -40 %) and magnetoinductance (ΔX/X = -12 %) are found in a field of H = 700 Oe and f = 2 MHz. It has been suggested that the observed ac magnetoresistance has its origin in the suppression of spin fluctuations near TC and the enhancement of magnetic skin depth under the external magnetic field. The huge ac magnetoresistance reported in this work can be exploited for magnetic field sensors and other applications [1]. References:[1]. A. Rebello, V. B. Naik and R. Mahendiran, J. Appl.Phys.106, 073905 (2009)
5:00 PM - N4.2
Evidence for Magnetic Polarons in the Hole-doped Cobalt Perovskites.
Andrey Podlesnyak 1 , Margarita Russina 2 , Albert Furrer 3 , Thierry Straessle 3 , Ekaterina Pomjakushina 3 4 , Kazimierz Conder 4 , Daniel Khomskii 5
1 Spallation Neutron Source, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 , The Helmholtz Centre Berlin for Materials and Energy, Berlin Germany, 3 Laboratory for Neutron Scattering, ETH Zurich & Paul Scherrer Institut, Villigen Switzerland, 4 Laboratory for Developments and Methods, Paul Scherrer Institut, Villigen Switzerland, 5 Institute of Physics II, University of Cologne, Cologne Germany
Show AbstractA substitution of La3+ by Sr2+ induces holes in the low-spin ground state of LaCoO3, which behave as magnetic impurities with a very high spin value. In this work, we elucidate the mechanism of how already the light hole-doping x~0.002 dramatically affects magnetic properties of La1-xSrxCoO3. Using inelastic neutron scattering data, obtained with and without external magnetic field, we find that the charges introduced by strontium doping do not remain localized at the Co4+ sites. Instead, each hole is extended over the neighboring Co3+ ions, transforming them to higher spin state and thereby forming a magnetic seven-site (heptamer) polaron. Spin-state polarons behave like magnetic nanoparticles embedded in an insulating nonmagnetic matrix. Lightly doped La1-xSrxCoO3 is a natural analog to artificial structures composed of ferromagnetic particles in insulating matrices.ORNL/SNS is managed by UT-Battelle, LLC, for the U.S. Department of Energy under contract DE-AC05-00OR22725.
5:15 PM - N4.3
Correlating Magnetic Anisotropy and Electronic Structure in Complex Oxides Thin Films.
Elke Arenholz 1 , Gerrit van der Laan 2
1 , ALS, Berkeley, California, United States, 2 , DLS, Didcot United Kingdom
Show AbstractTailoring magnetic anisotropies is of critical importance for the optimization of novel memory and sensor devices for future use in information storage and processing technology. Since engineered magnetic nanostructures typically consist of several layers with distinct magnetic characteristics access to element-specific information about the magnetocrystalline anisotropy energy (MAE) is essential. MAEs can be determined quantitatively employing a theoretically derived sum rule for x ray magnetic linear dichroism (XMLD) [1] connecting the integrated intensity of the XMLD signal with the spin-orbit anisotropy and hence the MAE. Moreover, integration and proper normalization of x ray magnetic circular dichroism (XMCD) spectra allow quantifying the anisotropy of the orbital moment, L, which determines the easy-magnetization direction [2]. While the values derived for the MAE using the XMCD and XMLD sum rules agree rather well with each other, the analysis gives energy values larger by a factor of 10 to 50 compared to macroscopic measurements such as magneto-optical Kerr effect and ferromagnetic resonance [3]. This suggests that the approach of using soft x ray dichroism techniques for the element-specific study of the MAE requires further refinement. We aim to evaluate the influence of the crystal electric field and the orbital moment, L, on the spectral shape and angular dependence of the XMCD signal in order to elucidate the origin of the magnetocrystalline anisotropy.We have measured the angular dependence of the Mn L3,2 XMCD signal from MnCr2O4 and MnFe2O4. The XMCD signal was determined for a fixed angle of x ray incidence while varying the angle φ of the magnetic field relative to the x ray beam. A very strong variation of the XMCD spectral shape with angle φ is observed. For MnCr2O4, in transverse geometry, i.e. φ = 90°, the XMCD spectral shape resembles the derivative of the XMCD signal observed for φ = 0°. Applying the sum rule suggests a vanishing orbital moment, L, for φ = 90°. However, in a comparable data set obtained on MnFe2O4 exhibiting an in-plane easy axis the angular dependence is distinctly different. For φ = 70° the XMCD signal resembles the derivative of the XMCD spectrum observed for φ = 0°. This indicates that a careful study of the angular dependence of the XMCD signal can provide the means to determine the angle of L = 0° and ultimately the anisotropy of the orbital magnetic moment with great precision.Supported by the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. References[1] G. van der Laan, Phys. Rev. Lett. 82, 640 (1999).[2] D. Weller et al., Phys. Rev. Lett. 75, 3752 (1995); H. A. Dürr et al., Phys. Rev. Lett. 76, 3464 (1996). [3] S. S. Dhesi et al., Phys. Rev. Lett. 87, 067201 (2001).
N5: Ferroics and Multiferroics I
Session Chairs
Tuesday PM, April 06, 2010
Room 2001 (Moscone West)
5:30 PM - N5.1
Strain Engineered Magnetoelectric Coupling and Ferroelectricity in Orthorhombic AMnO3 Epitaxial Thin Films.
Josep Fontcuberta 1 , Xavier Marti 1 , Ignasi Fina 1 , Lourdes Fabrega 1 , Florencio Sanchez 1 , Vassil Skumryev 2 3 , Cesar Ferrater 4 , Manuel Varela 4
1 , Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Barcelona Spain, 2 Dept. Física, Universitat Autònoma de Barcelona, Barcelona Spain, 3 , Institut Català de Recerca i Estudis Avançats, Barcelona Spain, 4 Dept. Física Aplicada i Optica, Universitat de Barcelona, Barcelona Spain
Show AbstractOrthorhombic manganese perovskites o-AMnO3 are antiferromagnetic at temperatures below the Néel temperature (TN ~ 40 K); they are receiving much attention due to the possible occurrence of unproper ferroelectricity mediated by the magnetic order. Here we will report on the growth and characterization of a series of o-AMnO3 films in which the structure is gradually modified via epitaxial and steric strain. We will show that films are basically antiferromagnets at about 40 K, and display a pronounced dielectric anomaly, a peak of amplitude (Δε at T < TN). Films display hysteresis in their zero-field cooled field cooled magnetization versus temperature curves, which indicates the existence of a remnant magnetization (Mr). From the systematic study of the relationship between magnetic and dielectric properties on strain we will show that: (1) Strain allows modulating the ferromagnetic-like character (Mr) of the films. (2) The low-temperature dielectric constant changes with the magnetic field thus suggesting magnetoelectric coupling (ME). (3) The amplitude of the dielectric anomaly (Δε) is gradually modified by strain and under the action of a magnetic field, thus suggesting ME coupling. (4) Polarization loops emerge at about 27 K, thus indicating that o-YMnO3 is ferroelectric. In short, we show than the complex magnetic order established in these oxides, below TN, is accompanied by a profound dielectric anomaly that signals ME coupling. The dielectric anomaly, the ferroelectricity, and the ME coupling can be subsequently tuned by strain. The origin of ferroelectricity and magnetoelectric coupling in these orthorhombic perovskites will be discussed.
5:45 PM - N5.2
Dual-laser Deposition of Stoichiometric PZT/CoFe2O4 Epitaxial Heterostructures.
Devajyoti Mukherjee 1 , Robert Hyde 1 , Tara Dhakal 1 , Hariharan Srikanth 1 , Pritish Mukherjee 1 , Sarath Witanachchi 1
1 Physics, University of South Florida, Tampa, Florida, United States
Show AbstractGrowth of stoichiometric PbZr0.52Ti0.48O3 (PZT) thin films by laser ablation from a composite target is complicated by the high volatility of Pb. To compensate for the led loss during growth, it is common to use targets containing Pb in excess of 30-80%. Investigation of the laser-target interaction in excimer laser ablation of a target with 30% excess Pb showed that films with proper Pb content was produced only when the laser fluence is above 3.5 J/cm2. At such high laser fluencies the expansion profile is highly forward directed giving rise to large film thickness variations. In addition, particulate ejection from the target is exacerbated forming non-stoichiometric droplets on the film that are undesirable in heterostructure growth. We have used a dual-laser ablation process that combines pulsed excimer and CO2 laser outputs to overcome these adverse effects. Our investigations showed that the inter-pulse delay between the excimer and CO2 laser pulses play an important role in determining the stoichiometry and the crystallinity. We have used emission spectroscopy and ICCD imaging to study the plume expansion dynamics that enabled us to optimize the growth parameters for PZT film deposition. Saturation polarizations that are comparable to that of bulk PZT have been obtained for films grown by the dual laser process. Subsequently, CoFe2O4 (CFO)films and CFO/PZT epitaxial films have been grown on MgO substrates. Evidence of magnetoelectric coupling in these films will be presented.
N6: Poster Session: Ferroics and Multiferroics & Magnetic Oxides
Session Chairs
Andrei Kholkin
Sarath Witanachchi
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - N6.1
Ultra Wideband AC Permitivity and Permeability of Nanometer and Micron Size Fe, Fe3O4, Ferrite Particulates and Their Composites.
Ricky Moore 1 , John Schultz 1
1 , Georgia Tech Research Institute, Atlanta, Georgia, United States
Show AbstractMagnetic properties (saturation magnetization, coercivity, anisotropy field and DC permeability) and/or dielectric material properties, as functions frequency and RF signal power, may be needed for materials and their composites that are used in ultra wideband RF applications like electromagnetic suppression materials; circuit and antenna substrates; filters, phase shifters, medical diagnostics, medical treatment, magnetic film mounted transmission lines or high power radiators. However at diameters less than ~ 100 nm, magnetic and dielectric properties of particles deviate from bulk values with domain and/or particulate dimension. In this paper magnetic and dielctric measurements of some 50 composites of Fe, ferrite and Fe3O4 particulates, at 1MHz to 10 GHz, are provided as functions of magnetic particle size and RF frequency. These AC constitutive parameter data were acquired using strip line cavity and coaxial line reflection-transmission. Effective media theories are combined with Lorentzian parameterization of composite's measured data to derive anisotropy, DC magnetic susceptibility and relaxation frequencies for iron, iron oxide and ferrite particles. The particle sizes are 5nm, 7nm, 12nm, 25nm, 150nm, 400nm; 2, 10 and ~ 40 microns. Particle parameters are inferred from measured data for each size, material and composite volume fraction. Since magnetite composites encompassed 3 orders of magnitude in particle scale, those data are chosen to investigate models for magnetic parameters as functions of scale. The empirically derived functional forms relate permeability, resonant frequency, anisotropy and magnetization as functions of size. Magnetite magnetization and susceptibility decrease with particle dimension but a significant increase in anisotropy is observed. The resulting change in paramagnetic onset can impact the choice of magnetite size scale for biologic, medical and electromagnetic interference applications. Similar functional scalings are proposed for the Fe and Ferrite materials and those are compared to publications on nanometer scale iron and ferrites.
6:00 PM - N6.10
Photon-assisted Oxidation Tuning of Point Defects in (Ba,Sr)TiO3 Thin Films on Reactive Substrates.
Adrian Podpirka 1 , Shriram Ramanathan 1
1 Applied Physics, Harvard University, Cambridge, Massachusetts, United States
Show AbstractThe electrical characteristics of reactive metal-oxide interfaces are largely dependent on the thermodynamics and kinetics of interfacial reactions that occur during synthesis and further processing conditions. In the context of charge storage, such reactions may lead to un-desirable electrical characteristics such as increased loss, or frequency dispersion. The growing concerns over energy use/dissipation in electronics motivate the need to understand materials science of high-k oxides grown on inexpensive metallic electrodes. Reactive metals such as Ni are being explored as electrodes in various electronic packaging as well as on-chip de-coupling capacitors. In this work, we demonstrate that photon-assisted oxidation enables a unique low temperature processing route to synthesize low loss (Ba,Sr)TiO3 thin film based capacitors with Ni bottom electrodes. Athermal photo-excitation enables significant oxygen incorporation into the oxide films leading to reduction in point defect related losses. The self-limiting processing approach enables mitigating interfacial reactions at the oxide-electrode interface. Our results show a decrease in the dielectric loss tangent in UV oxidized films compared to conventionally re-oxidized at 0.33MV/cm, tan δ of .13 vs .62, respectively. Leakage current showed a significant decrease, 7.8 A/cm2 for conventional re-oxidation versus 4.1*10-4 A/cm2 for photon assisted, at an applied electric field of 0.33 MV/cm. The results are of significance to processing functional oxides on substrates wherein athermal routes are necessary to avoid parasitic interfacial reactions.
6:00 PM - N6.11
Magnetic Properties of Oxidized Fe Nanowires Electrochemically Synthesized in Anodic Alumina Membrane.
Seonggi Min 1 , Jin-Hee Lim 1 , Leszek Malkinski 1 , John Wiley 1
1 Advanced Materials Research Insitute(AMRI), The University of New Orleans, New Orleans, Louisiana, United States
Show Abstract The materials class of half-metallic ferromagnets has attracted renewed interest recently in the search for efficient spin polarizers in spin electronics [1]. Among the half-metallic ferromagnets, the ferromagnetic magnetite Fe3O4 with a high Curie Temperature Tc of 567 oC is envisioned as a good candidate for spintronic applications. It can perform well in working around or above room temperature [2]. Conventional mild Anodized Alumina Membranes (AAM) with about 60 nm pore diameter and 100 nm interpore distance were prepared by two-step anodizing process in 0.3 M H2C2O4. Pure aluminum film (99.999 % Al) with thickness of 0.25 mm was annealed at 450 oC for 5 h in Ar atmosphere. Thin oxide layer on Al surface was electrochemically polished at 20 V in a 1:4 mixture solution of HClO4 and Ch3Ch2OH(10 oC). The polished Al film was anodized at 40 V in 0.3 M H2C2O4 (17 oC) for 12 h. After the first anodization, Al substrate was placed in a mixture solution of 5 wt% H3PO4 and 1.8 wt% CrO3 at 80 oC for 8h. Second anodization was conducted for 6 h in the same conditions as the first anodizing. For oxidizing the Fe nanostructures, AAM is removed and the samples were annealed at 600 oC for 10 hours in pure oxygen flow. This oxidation led to formation of Fe2O3 nanowires. Subsequent annealing at 200 oC in pure hydrogen flow for 10 hours reduced oxygen content transforming the wires into Fe3O4 phase. The pore length of the anodized aluminum oxide layers was determined from the cross-sectional scanning electron microscopy (SEM) images. The structural analysis was done by x-ray diffraction (XRD) and transmission electron microscopy (TEM). The magnetic measurements were performed with a superconducting quantum interference device (SQUID). The dipolar interaction fields are characterized for oxidized Fe nanowire arrays by using high frequency ferromagnetic resonance (FMR) techniques.[1] Yu S. Dedkov, U. Rüdiger, G. Güntherodt, Phys. Rev. B 65, 064417 (2002)[2] Wendong Wang, Minhyi Yu, Matthias Batzill, Jibao He, Ulrike Diebold, Jinke Tang, Phys. Rev. B 73, 134412 (2006)
6:00 PM - N6.12
Building Better Barium Titanate with Nanoscaffolds.
Sophie Harrington 1 , Arnaud Fouchet 1 , Mary Vickers 1 , Lata Sahonta 1 , Haiyan Wang 2 , Simon Redfern 3 , Junyi Zhai 4 , Quanxi Jia 4 , Judith MacManus-Driscoll 1
1 Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom, 2 Electrical and Computer Engineering, Texas A&M University, College Station, Texas, United States, 3 Earth Sciences, University of Cambridge, Cambridge United Kingdom, 4 Superconductivity Technology Center, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractA lead free ferroelectric with adequate transition temperature and low leakage current is necessary for applications in tunable microwave filters and actuators.The ferroelectric transition temperature of BaTiO3 has been increased from 120oC to greater than 800oC using a self-assembled nano-scaffold. In thick (>1μm) BaTiO3 vertical nanocomposite films tetragonicity is maintained to above 800oC, as confirmed by high temperature XRD. Polarization loops reveal enhanced ferroelectric properties, and this nanocomposite technique has the additional advantage of reducing the leakage current.
6:00 PM - N6.13
Epitaxial Stabilization of ε-Fe2O3 (001) on SrTiO3 (111).
Martí Gich 1 , Jaume Gazquez 2 , Vassil Skumryev 3 , J. Idrobo 2 , Manolo Varela 4 , Maria Varela 2 , Josep Fontcuberta 1 , Anna Roig 1
1 , Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Bellaterra Spain, 2 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 , Universitat Autònoma de Barcelona, Institut Català de Recerca i Estudis Avançats (ICREA), Bellaterra Spain, 4 , Universitat de Barcelona, Institut de Nanociència i Nanotecnologia (IN2UB), Barcelona Spain
Show Abstractε-Fe2O3 is a metastable structural intermediate of the well-known maghemite (γ-Fe2O3) and hematite (α-Fe2O3). It presents a non-centrosymmetric crystal structure (Pna21, a=5.0885 Å, b=8.7802 Å, c=9.4709 Å at 200 K) where Fe3+ occupies four distinct crystallographic sites with its magnetic moments antiferromagnetically coupled along a, resulting in a ferrimagnetic ordering. Despite being synthesized for the first time in 1934, ε-Fe2O3 remained largely unexplored for more than 60 years as it was only occasionally detected among other iron oxides. In recent years, SiO2-Fe2O3 nanocomposites with ε-Fe2O3 as the major crystalline phase have been obtained by sol-gel chemistry allowing the study of its structure and properties. In particular, two characteristics make of ε-Fe2O3 an interesting system both from the fundamental and applied points of view: its huge room-temperature coercivity of 20 kOe and a coupling of its magnetic and dielectric properties[1]. The large coercivity in ε-Fe2O3 contrasts with the soft magnetic properties of more well known ferrimagnetic Fe oxides like magnetite (Fe3O4) or maghemite (γ-Fe2O3), and arises from the combination of two factors: (i) a lower value of the saturation magnetization, and (ii) a larger magnetic anisotropy(several times that of Fe3O4 or γ-Fe2O3). It has been recently established that this increased anisotropy is provoked by the significant distortions of the Fe3+ coordination polyhedra which are responsible for a substantial spin-orbit coupling[2]. We will report on the epitaxial stabilization of ε-Fe2O3 (001) on SrTiO3 (111) by pulsed laser deposition. The ε-Fe2O3 films present a (001) orientation perpendicular to the substrate and two in-plane domains rotated 90 degrees with [100]ε||[-1-12]STO and [100]ε||[-330]STO. We will argue that the epitaxial stabilization of the first layers of this metastable polymorph is assisted by the in-plane formation of periodic domain structures subject to opposite strains. Those results shall stimulate new work on the physics of this iron oxide polymorph and help opening the door to its future integration in devices[3]. [1] M. Gich et al. Nanotechnology 17 (2006) 687-691.[2] Y-C. Tseng et al. Physical Review B 79 1 (2009) 094404_1-094404_6.[3] S. Ohkoshi et al. Angew. Chem. Int. Ed. 46 (2007) 8392.
6:00 PM - N6.14
Synthesis and Magnetic Properties of Pure and Cobalt-doped Bismuth Ferrite Nanoparticles.
Gina Montes 1 , Oscar Perales 2 , Boris Renteria 2
1 Mechanical Enginnering, University of Puerto Rico At Mayaguez, Mayaguez, Puerto Rico, United States, 2 General Engineering, University of Puerto Rico At Mayaguez, Mayaguez, Puerto Rico, United States
Show Abstract Application of nanocrystalline multiferroics in sensor development, massive memory storage or in the fabrication of new devices taking advantage of the electron charge and spin explains the need of investigating various options for the synthesis of these materials. Bismuth ferrite (BiFeO3) is a multiferroic material that exhibits ferromagnetism, ferroelectricity and ferroelasticity. The present research is focused on the systematic study of the synthesis of nanocrystalline BiFeO3 through a simple process in ethylene glycol media and its structural and magnetic characterization. Our preliminary results suggest that the ferrite formation was strongly dependent on both, the drying and annealing conditions of the solid precursors. Well-crystallized Bismuth ferrite was produced after annealing the precursor powders for one hour between 600°C and 700°C. The corresponding average crystallite size varied in the 45nm-50nm range. The corresponding magnetic properties (magnetization and coercivity) were strongly influenced by the selected annealing temperatures and time. BiFeO3 was also doped with Co species in the 0.01-1.0 at% range as an attempt to investigate the tuning on magnetic properties. The coercivity was drastically increased up to 1061 Oe by suitable selection of the dopant level and annealing temperatures. The functional properties of the ferrites will be discussed in terms of composition and crystal size at the nanoscale.
6:00 PM - N6.16
Chemically Grown La0.7Sr0.3MnO3/Single Crystal Heteroepitaxies.
Jone Zabaleta 1 , Patricia Abellan 1 , Miriam Jaafar 2 , Carlos Monton 1 , Allard Katan 3 , Markos Paradinas 1 , Anna Crespi 1 , Roxana Vlad 1 , Agustina Asenjo 2 , Carmen Ocal 1 , Marie Jose Casanove 4 , Miquel Salmeron 3 , Felip Sandiumenge 1 , Narcis Mestres 1 , Teresa Puig 1 , Obradors Xavier 1
1 , ICMAB-CSIC, Bellaterra, Barcelona, Spain, 2 , ICMM-CSIC, Madrid Spain, 3 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 , CEMES-CNRS, Toulouse France
Show AbstractThe development of self-assembly processes to pattern large areas with epitaxial ferromagnetic manganite nanostructures will provide a model system to establish the influence of the ferromagnetic behaviour at the nanoscale. This requires a good understanding of the formation mechanisms of these nanostructures (strain relaxation and surface energies) as well as a good control of growth parameters.In this work we study self-assembled La0.7Sr0.3MnO3 (LSMO) nanoislands (lateral size <300 nm, heights<60 nm) grown onto different (001) single crystalline substrates, namely SrTiO3 (STO), Yttria-stabilized ZrO2 (YSZ), MgO, and Zr doped CeO2 (CZO) buffers deposited on YSZ, from ultradiluted chemical solutions based on metal propionates. The different crystallographic structure and lattice mismatch between LSMO and each substrate results in either atomically flat thin films (LSMO/STO), or in highly uniform self assembled LSMO nanoislands with a narrow size distribution (LSMO/YSZ, LSMO/MgO, LSMO/CZO). The crystallographic orientation and texture were characterized by X-ray diffraction. TEM studies evidence the different degrees of strain obtained through the tuning of the substrate/island interface and confirm the fine control of their shape and orientation. SQUID measurements show that the nanostructures exhibit ferromagnetic ordering with Tc=350K. Further insight into the local magnetic and electric structure is achieved with preliminary Magnetic Force Microscopy and Kelvin Force Microscopy techniques.
6:00 PM - N6.19
Artificial Superlattices of FeO2 Infinite-layer Structures.
Kazuya Matsumoto 1 , Masanori Kawai 1 , Noriya Ichikawa 1 , Yuichi Shimakawa 1
1 Institute for Chemical Research, Kyoto University, Uji, Kyoto, Japan
Show AbstractEpitaxial thin films of infinite-layer-structure oxides, SrFeO2 and LaNiO2, which consist of corner-sharing square-planar oxygen coordination of divalent Fe and monovalent Ni ions, respectively, were recently prepared by low temperature reductions with CaH2 [1, 2]. This reduction method changes the oxygen contents of materials and adjusts the oxidation states of the transition-metal ions without destroying the fundamental structure frameworks. In this study, we used this technique to artificial superlattices of Fe-containing brownmillerite precursors. A brownmillerite superlattice [CaFeO2.5]m/[SrFeO2.5]n precursor was first grown by pulsed laser deposition in a layer-by-layer mode by monitoring RHEED intensity oscillations. After the reduction with CaH2, the out-of-plane lattice constant of the film significantly decreased and it corresponded to that of the infinite-layer structure superlattice [CaFeO2]m/[SrFeO2]n. For a brownmillerite/perovskite [CaFeO2.5]m/[SrTiO3]n artificial superlattice, on the other hand, only the brownmillerite CaFeO2.5 layers were reduced and the perovskite SrTiO3 layers kept intact. The results give important information on oxygen diffusion behaviors at low temperatures. This method also provides a new way to make unusual interfaces of oxide heterostructures. [1] S. Inoue, et al., Appl. Phys. Lett., 92, 161911 (2008). [2] M. Kawai, et al., Appl. Phys. Lett., 94, 082102 (2009).
6:00 PM - N6.2
Magnetic Behavior of Oxygen Passivated Cobalt Nanoparticles.
D. Srikala 1 , S. Patnaik 1
1 School of Physical Sciences, Jawaharlal Nehru University, New Delhi India
Show AbstractWe report on the synthesis and magnetic properties of cobalt nanoparticles with a combination of both spherical and disc shapes. The hcp Co nanospheres with an average diameter of 11 nm and nanodiscs of dimensions 2.5×15 nm were prepared by thermal pyrolysis of di-cobalt octacarbonyl in the presence of an amine surfactant. As synthesized nanoparticles were oxidized to grow antiferromagnetic layer and from the high resolution transmission electron microscopy, we observed the presence of ferromagnet-antiferromagnet i.e., Co/CoO interface with 2.2 nm thickness of CoO shell on spherical nanoparticles and 0.5 nm thickness in case of nanodiscs. A low temperature paramagnetic behaviour was observed at high fields due to the defects in the CoO shell that are not coupled to the antiferromagnetic lattice. From the magnetization measurements we have confirmed the presence of substantial exchange-bias effect due to the interfacial exchange coupling. Between 300 K and 10 K the coercive field increased by almost a factor of 34, whereas the exchange-bias field attained a value of about 1400 Oe at 10 K and vanished at 100 K. Radio frequency transverse susceptibility showed the presence of effective anisotropy and hysteresis in the peak structures up to 200 K. Field dependent transverse susceptibility revealed a two peak structure at the characteristics anisotropy fields and the hysteresis corresponding to magnetic field scans reflected the presence of strong temperature and field dependent relaxation of the nano-scale magnetic moments.
6:00 PM - N6.20
Understanding of the Evolution on Strain-induced Isostructural Transition in Multiferroic BiFeO3.
Ying-Hao Chu 1 , Qing He 2 , Chih-Hung Wang 1 , Heng-Jui Liu 3 , Chen-Wei Liang 1 , Yi-Chun Chen 4 , Tai-Bor Wu 3 , R. Ramesh 2
1 Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu, Taiwan, Taiwan, 2 Department of Physics, University of California, Berkeley, California, United States, 3 Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu Taiwan, 4 Department of Physics, National Cheng Kung University, Tainan Taiwan
Show AbstractMagnetoelectric coupling in multiferroic materials has attracted much attention because of the intriguing science underpinning this phenomenon and the exciting potential for applications and devices that take advantage of these materials with multiple order parameters. BiFeO3 (BFO) is the room temperature, single-phase magnetoelectric multiferroic with a ferroelectric Curie temperature of ~1103K and an antiferromagnetic Néel temperature of ~643K. Our previous study suggests an isostructural change can be induced by epitaxial strain, which is usually driven by temperature or high pressure in solids. Such a transition leads to a much large displacement (20% change in c-axis), which has a great potential for green piezoelectrics. In this study, we have probed such an isostructural change by systematically changing the strain states of grown BFO films on various substrates with different lattice parameters (DyScO3, SrTiO3, NdGaO3, LaAlO3, and YAlO3). In order to fully understand this transition, various techniques are used to build up the correlation between structure, ferroelectric ordering, phonon modes, and local environments of ions. Detailed X-ray diffraction analysis, such as reciprocal space mapping, has been used to understand the change of the crystal structure in BFO films as a function of strain. Piezoforce microscopy has been used to probe the evolution of ferroelectric domain patterns in these BFO films. Detailed phone modes involved in isostructural change have been studied by Raman spectroscopy and Fourier transform infrared spectroscopy. Moreover, the local environments of iron and oxygen ions, such as crystal field splitting and coordination numbers, have also been probed by using near edge X-ray absorption. A mode has been proposed in this study to address the evolution of isostructral change in multiferroic BFO.
6:00 PM - N6.21
Thermal Conductivity of Nickel Oxide and Cobalt Oxide Nanoparticles Prepared by Combustion Synthesis.
Pranati Sahoo 1 , Dinesh Misra 1 , Nathan Takas 1 , Pierre Ferdinand Poudeu Poudeu 1
1 Department of Chemistry and Advanced Material Research Institute, University of NewOrleans, New Orleans, Louisiana, United States
Show AbstractThe tremendous interest in nanoscale materials stems from the fact that such materials display a large number of intriguing properties like high surface area and low sintering temperatures, which make them more desirable for applications compared to micron-sized particles. There are several methods reported for the synthesis of NiO and Co3O4 nanoparticles. In this work, fast synthesis, in large scale, of nickel oxide (NiO) and cobalt oxide (Co3O4) nanoparticles, with narrow particle size distribution, by combustion synthesis method are discussed. This method is a self propagating process initiated at low temperature using metal nitrates as precursors and urea as the fuel. The reaction has been studied under various conditions by varying time and temperature to achieve the desired products. NiO and Co3O4 nanoparticles synthesized at 300C showed specific surface areas of 100 m2/g and 35 m2/g respectively. Smaller specific surface areas (NiO: 52 m2/g; Co3O4: 15 m2/g) were measured for nanoparticles synthesized at 400 C. Thermal conductivities of pressed pellets of NiO and Co3O4 nanoparticles formed by uniaxial hot-press and spark plasma sintering will be presented. The surfactant free, uniform metal oxide nanoparticles can be used as nanoinclusions in the fabrication of high performance half-Heusler nanocomposite thermoelectric materials
6:00 PM - N6.22
GMR and Exchange Bias Characteristics in Spin Valves Using Ultra-thin Cr2O3-NOL with Magneto-electric Effect.
Masashi Sahashi 1 , Kazuya Sawada 1 , Naoki Shimomura 1
1 Electronic Engineering, Tohoku University, Sendai Japan
Show AbstractExchange bias from antiferromagnetic (AFM) oxides with a magneto-electric (ME) effect has attracted attention for controlling ferromagnetic (FM) spins by an applied electric field. Bibes and Barthélémy have proposed that the electrical control of magnetization via the ME coupling offers the opportunity of combining the respective advantage of Ferroelectric Random Access Memories (FeRAMs) and Magnetic Random Access Memories (MRAMs) in the form of non-volatile magnetic storage bits that are switched by an electrical field. Such novel memories using ME elements are called Magneto-Electric Random Access Memories (MERAMs). However, a thick ME oxide is needed for realizing the electrically controlled exchange biasing because a blocking temperature (TB) decreases with thinning the thickness, which results in the application of a large voltage. We have obtained the high TB of 140 K for the CoO Nano-Oxide Layer (NOL) and 220 K for the Cr2O3-NOL in spite of only ~1 nm thickness. Therefore, in this study, temperature dependencies of a training effect for NOLs are investigated for confirming the ME effect of an ultra-thin Cr2O3-NOL because the training effect is a fundamental phenomenon at the interface between FM and AFM layers.The training effect shows the reduction of the exchange bias field (Hex) with increasing the cycle number (n) of measured M-H or R-H curves. This effect is explained as the rearrangement of AFM spin structures with the reversal of adjacent FM magnetization from the initial state after the magnetic field cooling. The power law behavior (Hex(n)-Hex(∞)=κ/√n) does not hold for n = 1, a fact is usually attributed to the difference between the FM layer reversal mechanism for n = 1 and n ≥ 2 where Hex(∞) is Hex(n) in the limit cycles and κis a system dependent constant. Ventura et al. proposed that the training effect in specular spin valves (SVs) with NOLs is characterized not only by the decrease of the Hex but also by the decrease of the MR ratio, in which it is explained that the decrease of the MR ratio is caused by a smaller antiparallelism between the magnetizations of the pinned and the free layers. The unique temperature tendencies of κHex and κMR were observed, which are probably originated from the ME effect of the Cr2O3-NOL because: 1) the characteristic temperature tendencies could not be obtained in the SV with the CoO-NOL and 2) κHex and κMR are defined as the strength of the coupling between FM and AFM spins. It is remarkable result for us to confirm the possibility of the ME effect from the ultra thin Cr2O3 layer (less than 1 nm) because the ME effect has been only observed in thick ME materials.
6:00 PM - N6.23
Interacting Magnetic Nanostructures for Novel Energy Efficient Electronics.
Eun Ji Kim 1 , Joanna Bettinger 1 , David Carlton 2 , Bruce Harteneck 3 , Andreas Scholl 4 , Andrew Doran 4 , Jeffrey Bokor 2 , Yuri Suzuki 1
1 Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 2 Electrical Engineering, University of California, Berkeley, Berkeley, California, United States, 3 Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractAggressive scaling of today’s integrated circuits is predicted to reach its limit at some point and require a new paradigm for logic devices. In contrast to complementary metal-oxide-semiconductor (CMOS) based devices where the electron flow is the key parameter in controlling device performances, logic technologies that utilize magnetic dipole field coupling could propagate signals without passing of any electrical current. This has the significant advantage of reducing the energy dissipation to as low as 20kBT compared to 1000kBT for CMOS technologies. It was reported that elongated magnetic elements have single domain states where their remanent magnetization always points along their long axis and they are coupled through dipole-dipole interactions. Simulation studies also predicted that nanomagnet-based logic operations are possible when aspect ratios of nanomagnets are properly chosen and hard axis stability is enhanced by adding the biaxial anisotropy.
In this work, we have fabricated chains of interacting nanomagnetic islands embedded in a paramagnetic matrix using an electron beam lithography/ ion implantation technique. La0.67Sr0.33MnO3 thin films (~50 nm) were prepared on both (001)-oriented and (110)-oriented SrTiO3 substrates at 700oC in 320 mTorr oxygen ambient by pulsed laser deposition with a KrF laser influence of ~ 1.2 J/cm2 and a pulse duration of 3 Hz. A bilayer of 20 nm poly methyl methacrylate and 200 nm hydrogen silsesquioxane was spin coated and patterned with electron beam lithography. A subsequent ion implantation process distorts structural and magnetic properties of the regions that were not protected by the patterned resist layer and chains of magnetic islands are formed in the La0.67Sr0.33MnO3 film. Each array of nanomagnets consists of 32 islands of either rectangular or hexagonal shapes with feature sizes of 60 nm by 60 nm to 160 nm by 460 nm, with separation between the magnetic islands ranging from 70 nm to 300 nm. The long axis of nanomagnets is oriented parallel to one another along their magnetically easy axes ([110] for (001)-oriented and [001] for (110)-oriented SrTiO3). Magnetic images of elongated islands showed single domain states while islands with small aspect ratios showed multiple domain states, before signal propagation was initiated. An external magnetic field of up to 5 kOe is applied to force the alignment of the magnetizations along their magnetically hard axes. Magnetic domains in the nanomagnets and their interaction with neighboring magnets after signal propagation are analyzed by x-ray photoemission electron microscopy and magnetic force microscopy.
6:00 PM - N6.24
Fabrication and Electrical Characterization of Barium Titanate Nanorods.
Francisco Hernandez-Ramirez 1 2 , Juan Daniel Prades 2 , Cyrus Zamani 2 , Kristina Zagar 3 , Joan Ramon Morante 1 2
1 XaRMAE, Advanced Materials for Energy, Catalonia Institute for Energy Research, Barcelona Spain, 2 Electronics, University of Barcelona, Barcelona Spain, 3 , Jozef Stefan Institute, Ljubljana Slovenia
Show AbstractBarium titanate (BaTiO3), a representative perovskites oxide, has rich optical and electrical properties. Furthermore, it has been extensively used to build devices suitable for many applications, such as thermistors and non volatile ferroelectric random access memory (FeRAM) [1]. For this reason, the electronic structure of BaTiO3 has been subject of experimental and theoretical studies. This work reports the electrical characterization of individual BaTiO3 nanorod-devices, which were fabricated using Focused Ion beam (FIB) nanolithography techniques [2], and analyzes the electrical response of these nanomaterials in different experimental conditions, paying special attention to the description of the physical, chemical and electrical phenomena that are involved. The here-presented devices could be used to fabricate nanoscale replicas of the standard devices based on this material, entailing a step forward in the development of nanotechnology. [1] Huybrechts et al. J. Mat. Sci. 30 (1995) 2463-2474[2] F. Hernandez-Ramirez et al. Phys. Chem. Chem. Phys. (2009) DOI : 10.1039/b905234h
6:00 PM - N6.25
Nanostructures and Self-assembly in Manganite Thin Films.
Zorica Konstantinovic 1 , Jose Santiso 2 , Dorothee Colson 3 , Anne Forget 3 , Lluis Balcells 1 , Benjamin Martinez 1
1 , ICMAB-CSIC, Bellaterra-Barcelona Spain, 2 , CIN2 (CSIC-ICN), Bellaterra, Barcelona Spain, 3 SPEC/DSM/IRAMIS, CEA, Gif-sur-Yvette France
Show AbstractOxide thin films often exhibit a tendency toward self-organized growth forming regular arrays of three dimensional nanostructures. This behaviour offers enormous potential for the implementation of new nanodevices, while at the same time attracts great attention due to their rich physics. Among them, manganese perovskites showing colossal magnetoresistance and half metallic characteristics have emerged as promising candidates for miniature spintronic devices.Complex oxide thin films are often elastically strained, due to film-substrate lattice mismatch, and this lattice strain can, in some cases, select preferential growth modes leading to the appearance of different self-organized morphologies. In this work we report on the controlled fabrication of self-assembled nanostructures in highly epitaxial La2/3Sr1/3MnO3 (LSMO) thin films [1, 2]. By carefully controlling growth rate dramatic changes of the surface morphology of LSMO films grown on top of SrTiO3 substrate can be induced: from very flat surface, through nanometric mounds and antidots (Figure 1. left) to hatches [1]. All nano-objects form long-range ordered arrays running in the steps direction defined by the miscut angle of underlying substrate [2]. Therefore, it is manifested that self-organization process is directly promoted by the topological features of the substrate and indicate the importance of kinetic effects (adatom diffusion process on surface terrace, over substrate steps, along edges,…). On the other hand, the influence of the lattice strain on antidots formation is studied using different substrates (LaAlO3, NdGaO3, La0.3Sr0.7Al0.35Ta0.35O9) and analyzed within an energetic model proposed by J. Tersoff and F.K. LeGoues [3]. The implementation of self-assembled gold nanoparticles on the top of the nanostructured antidots is explored. References:[1] Z. Konstantinović, J. Santiso, D. Colson, A. Forget, Ll. Balcells, B. Martínez, J. Appl. Phys, 105 (2009) 063919.[2] Z. Konstantinović, J. Santiso, Ll. Balcells, B. Martínez, Small, 5 (2009) 265.[3] J. Tersoff and F.K. LeGoues, Phys. Rev. Lett., 72 (1994) 3570.
6:00 PM - N6.27
Magnetosome-like Ferrimagnetic Iron Oxide Nanocubes for Magnetic Resonance Imaging of Single Cells.
Nohyun Lee 1 , Hyoungsu Kim 2 , Woo Kyung Moon 2 , Taeghwan Hyeon 1
1 School of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Diagnostic Radiology, Seoul National University Hospital, Seoul Korea (the Republic of)
Show AbstractFor ultrasensitive magnetic resonance imaging of single cells, magentic nanoparticles with extremely high r2 relaxivity are strongly desired. We synthesized uniform ferrimagnetic iron oxide nanocubes with sizes of 20 ~ 160 nm. We fabricated magnetosome-like nanoparticles by coating PEG-phospholipid onto the ferrimagnetic nanocubes. They exhibited a very high relaxivity due to their high magnetization. They were also biocompatible and able to label various kinds of cells efficiently. The high relaxivity and uptake efficiency of ferrimagnetic nanocubes enabled the MR imaging of single cells not only in vitro but also in vivo. The single cells labeled with ferrimagnetic nanocube were imaged as discrete dark spots in T2* MR images and matched with fluorescence or histological images. We expect that the current magnetic resonance imaging of single cells using the FIONs will have enormous potentials not only for basic biological research, but also for clinical diagnosis and therapy
6:00 PM - N6.28
Hetero-junction La-Sr-Mn-Oxide/n-Si Nanotips Arrays With Enhanced Magnetoresistance at Room Temperature.
Chang-Wei Chong 2 3 , Jauyn Grace Lin 1 , Kuei-Hsien Chen 3 1 , Li-Chyong Chen 1
2 Physics Department, National Taiwan University, Taipei Taiwan, 3 Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei Taiwan, 1 Center for Condensed Matter Sciences, National Taiwan University , Taipei Taiwan
Show AbstractElectric field-controlled ferromagnetism is emerging as a hot research topic, where intensive studies have been done in order to realize the low power consumption and high density memory devices. Recently, we have shown nanotip-structured materials exhibit extraordinary optical properties and enhanced electrically-driven device performance, owing to the localized field effect at the nanoscopicaly-sharp apex of the tip under applied bias [Refs. 1-2]. Here, magneto-transport behavior in the arrayed nanotips of La-Sr-Mn-Oxide/n-type Si hetero-junctions will be presented. The arrayed Si nanotips (SiNTs) were first fabricated by a patented self-masked electron cyclotron resonance plasma etching process from Si wafers. These nanotip-structured wafers were then employed as the templates for the subsequent deposition of La0.7Sr0.3MnO3 (LSMO) using pulsed laser deposition method. Transport properties of these LSMO/n-SiNTs were studied by measuring magnetoresistance (MR) as a function of magnetic field, external bias and different aspect ratios of the SiNTs. In addition, orientation- and temperature-dependent magneto-transport properties have been studied. Compared with the two dimensional LSMO/n-Si thin film, the nanotip-structured counterparts have shown significant enhancement in their MR ratios and pronounced anisotropic behavior, which also increase with increasing aspect ratios of the nanotips. MR ratios over 20% (negative) were observed even at room temperature, under a magnetic field of 0.5 T and a bias voltage of 1.5 V. Improved connectivity of the ferromagnetic domain at the p-n interface under magnetic field and tip-enhanced transport have been proposed to be the origin for the enhancement. Our results could open up new possibilities to integrate wafer-based spintronic devices with standard Si ultra-large-scale integrated technology.Reference:[1] Y. F. Huang, S. Chattopadhyay, Y. J. Jen, C. Y. Peng, T. A. Liu, Y. K. Hsu, C. L. Pan, H. C. Lo, C. H. Hsu, Y. H. Chang, C. S. Lee, K. H. Chen, L. C. Chen, Nature Nanotech. 2, 770 (2007).[2] Y. P. Hsieh, H. Y. Chen, M. Z. Lin, S. C. Shiu, M. Hofmann, M. Y. Chern, X. Jia, Y. J. Yang, H. J. Chang, H. M. Huang, S. C. Tseng, L. C. Chen, K. H. Chen, C. F. Lin, C. T. Liang, and Y. F. Chen, Nano Lett. 9, 1839 (2009).
6:00 PM - N6.29
Crystal Structure and Dielectric Function of BaTiO3 Single Crystals and Thin Films.
Stefan Schoeche 1 , Ruediger Schmidt-Grund 1 , Chris Sturm 1 , Matthias Brandt 1 , Holger Hochmuth 1 , Michael Lorenz 1 , Grundmann Marius 1
1 Institut für Experimentelle Physik II, Universität Leipzig, Leipzig Germany
Show AbstractWe present detailed investigations of the structure and the complex dielectric function (DF) of epitaxial perovskite BaTiO3 (BTO) thin films with various thicknesses grown on cubic (100)-, (110)- and (111)-oriented SrTiO3 (STO) and pseudocubic DyScO3-(110) substrates using pulsed laser deposition. A commercial BTO single crystal with (001)-orientation serves as reference bulk material.BTO thin films on STO-(100) and DyScO3-(110) are found to be (001)-oriented. XRD measurements reveal a lattice deformation depending on the film thickness due to compressive strain caused in the lattice mismatch between film and substrate. Below a critical thickness of ~50nm, the BTO films were found to grow pseudomorphically. A lower refractive index and a blue shift of band-to-band transition energies compared to the single crystal values as well as a thickness dependence of the DF were found. For this orientation, the optical anisotropy of BTO cannot be proven by means of spectroscopic ellipsometry (SE). The BTO films on (110)-STO are found to be (110)-oriented. Optical anisotropy was found with an orientation of the optical axis in the surface plane. For (111)-BTO films on STO-(111) no optical anisotropy is found.The interest in the optical properties of BTO is based on its switchable ferroelectric properties, which make it a suitable material for application in thin film capacitors, optical resonators, piezoelectric devices, nonvolatile memories, or electronic switchers for optical signals. For example, the orientation of the ferroelectric polarization of BTO in heterostructures composed of a BTO layer and a conductive ZnO layer, which exhibits a non-switchable spontaneous polarization, can be controlled by an applied electrical bias [1]. For such applications, high quality films and detailed knowledge of the DF is mandatory. In literature, no parameterized model for the DF of BTO thin films, especially in the band gap region, is yet available.The structure quality and the surface morphology is monitored by X-Ray diffraction (XRD) measurements and atomic force microscopy. The DF is determined by means of SE in the spectral range NIR-VIS-VUV. The SE data are analyzed by means of layer-stack analysis including the substrate, the BTO film, and a surface layer. The DF of the BTO films and the substrates were modeled with parameterized model DF and the energies of band-to-band transitions as well as the refractive index spectra were derived [2]. The combination of XRD and SE provides a mighty tool for determining the optical anisotropy and the orientation of the optical axis of the BTO films.This work was supported by Deutsche Forschungsgemeinschaft in the framework of Sonderforschungsbereich 792 "Functionality of Oxidic Interfaces".[1] B.N. Mbenkum et al., Appl. Phys. Lett. 86, 091904 (2005)[2] M. Schubert: “Infrared Ellipsometry on semiconductor layer structures: Phonons, Plasmons, and Polaritons”, Springer Tracts in Modern Physics 209, Springer (2004)
6:00 PM - N6.3
Nonhydrolytic Synthesis of Ferroelectric BaTiO3 and PbTiO3 Nanocrystals.
Jun Wang 1 , Zhiqun Lin 1
1 Materials Science and Engineering, Iowa State University, Ames, Iowa, United States
Show AbstractWe report the synthesis of two important ferroelectric nanocrystals, barium titanate (BaTiO3) and lead titanate (PbTiO3). A nonhydrolytic thermal decomposition approach was utilized and oleic acid was used as the surface capping ligand to provide nanocrystals with good solvent solubility, making it possible for low-cost solution based process. The formation and shape evolution of ferroelectric nanocrystals, depending on reaction time, surfactant concentration and temperature, were systematically studied. X-ray powder diffraction, TEM and EDX analysis were used to characterize the crystalline structures and chemical compositions of as-synthesized ferroelectric nanocrystals.
6:00 PM - N6.30
Observation of Dynamic Magneto-electric Coupling in Multiferroics PbZr0.52Ti0.48O3/La0.67Sr0.33MnO3 Heterostructures.
Sandra Dussan 1 , Ashok Kumar 1 , Ram Katiyar 1
1 Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, Puerto Rico, United States
Show AbstractSimultaneous existence ferroelectric and ferromagnetic properties in the same material have attracted scientific and technological interest in the last decade due its potential applications in magnetic data storage, sensors, non-volatiles memories, actuators, micromechanical applications, and the emerging field of spintronics. Early experimental analysis on heterostructures comprising a ferro-or piezoelectric and a carrier-mediated magnet suggest the possibility of artificially engineered multiferroics in which the coupling is mediated through an electrostriction near interface. Highly oriented bi- and multilayers of piezoelectric PbZr0.52Ti0.48O3 (PZT) and ferromagnetic La0.67Sr0.33MnO3 (LSMO) with different LSMO layer thickness were grown on LaAlO3 (100) substrates by pulsed laser deposition. We optimized LSMO layer thickness as bottom electrode as well as a constituent of heterostructure and its effect on the multiferroics properties. The x-ray diffraction patterns of PZT/LSMO bi and try layer films with different periodicity compared with the pure PZT and LSMO thin films grown under the same conditions evidenced that the layered structure formed without any secondary phase. The surface topography showed well defined grains having average grain size ~ 20-50 nm and roughness less than 4 nm. The phase purity was further confirmed by their respective Raman spectra. Room temperature magnetization-field (M-H) exhibit well-shaped magnetization hysteresis loops, good saturation and low coercivity. The electrical properties of hetrostructure exhibited very high remnant polarization (30-60 μC/cm2) and dielectric constant (700-3000) depending upon the different periodicity and thickness of FE and FM layers. We observed a huge kink in the real part of the dielectric permittivity near the ferromagnetic to paramagnetic phase transition of LSMO in all hetrostructures suggesting dynamic magneto-electric coupling due to magnetostriction.
6:00 PM - N6.31
Structure and Transport Properties of Sr0.75-xCaxY0.25Co0.25Mn0.75O3-δ (0 ≤ x ≤ 0.6).
A. Galeeva 1 , Ph. Napolsky 2 , S. Istomin 3 , A. Gippius 1 , D. Khokhlov 1
1 Faculty of Physics, MSU, Moscow Russian Federation, 2 Faculty of Material Science, MSU, Moscow Russian Federation, 3 Faculty of Chemistry, MSU, Moscow Russian Federation
Show AbstractThe complex oxides of d-metals with the distorted perovskite-like structure are promising cathode materials for solid oxide fuel cells (SOFCs). The thermal expansion coefficient (TEC) and electrical conductivity as the key properties are to be studied for SOFC application.Cobaltites are characterized by a comparatively high electrical conductivity. The negative feature of cobalt-based oxides as SOFC cathode materials is a too high TEC value. Distortion of the perovskite-like structure may lead to a decrease of the TEC value.We report results on the crystal structure, TEC and electric transport properties ofSr0.75-xCaxY0.25Co0.25Mn0.75O3-δ (0 ≤ x ≤0.6) ceramic samples. Samples were synthesized by the solid state technique. Compounds crystallize in orthorhombically distorted perovskite structure (GdFeO3-type) as revealed by X-ray and electron diffraction studies. The density of the ceramic samples was determined by pycnometry.The TEC was examined in the temperature interval 300–1173K using both high-temperature X-ray diffraction and dilatometry. Dc conductivity measurements were carried out in the temperature range 4.2K – 1173K. Electric impedance studies were performed at temperatures 4.2K – 300K in frequency range 20Hz – 1MHz.The TEC value of the perovskites decreases as the calcium content increases from x=0 to x=0.6. The resistivity demonstrates the semiconducting type of a temperature dependence and varies from ~10-2 Ωcm at 1173K to ~107 Ωcm at 4.2K. High temperature dc conductivity data are analyzed in terms of the polaron transport model. The polaron activation energy reveals a strong tendency to rise from 340 meV to 430 meV as the calcium content increases from x=0 to x=0.6. The impedance data were interpreted using the equivalent circuit model taking into account the grain bulk and the grain boundary contributions in conductivity. The origin of the charge carriers and the ac and dc charge transport mechanisms are discussed.
6:00 PM - N6.32
Electric Transport and Magnetism of Nanometric Ferrimagnetic Tunnel Barriers for Spin Filtering.
Franco Rigato 1 , Michael Foerster 1 , Josep Fontcuberta 1 , Karim Bouzehouane 2 , Stephane Fusil 2
1 materials magnetics i llurs applicacions, ICMAB - CSIC, Bellaterra Spain, 2 , Unité Mixte de Physique CNRS/Thales , Palaiseau France
Show AbstractFerromagnetic insulating nanometric layers are being considered for spin filtering in magnetic tunnel junctions. There are few suitable ferromagnetic insulators that can be integrated in epitaxial structures for room temperature applications; probably ferrites having the spinel structure (AB2O4) are the optimal ones. Although some progress in spin filtering has been recently achieved using these materials, the measured filtering efficiency is much below expectations. In this manuscript, we report on a detailed functional characterization of NiFe2O4 and CoFe2O4 tunnel barriers. In agreement with earlier reports, we have found that nanometric films ( ~3 nm) required for tunnel junctions display a saturation magnetization much larger than that of thicker films ( ~80 nm), which approaches the expected bulk value. Importantly, the anomalous saturation magnetization could signal a disordered cationic distribution within the available sites of the spinel structure. Such disorder could also modify the energy gap and the exchange splitting in the tunnel barrier, thus impacting the filtering efficiency. Here, we show that by appropriate thermal annealing the anomalous saturation magnetization of these nanometric films can be suppressed and bulk-like values are approached for both CoFe2O4 and NiFe2O4. We argue that this is due to suppression of cation disorder within the A/B sublattices and recovery of the equilibrium inverse spinel structure of NiFe2O4 and CoFe2O4. On the other hand, we performed exhaustive electrical characterization of tunnel barriers of various thicknesses by using conducting-AFM, obtaining clear indications of tunnel transport across CoFe2O4 films at room temperature. The transport is spatially homogenous as demonstrated by current maps, which show an exponential decrease of current with increasing barrier thickness. All IV curves measured under various conditions display the characteristic shape of a tunnelling process. Therefore, our results indicate that nanometric spinel barriers with optimized properties are now available for improved spin filters.
6:00 PM - N6.34
Functionalized Barium Titanate Nanoparticles to Enhance Dielectric Properties.
Kristin Kraemer 1 , Steve Wignall 1 , Nicolas Reding 1 , Lesya Korbryn 2 , James Takacs 2 , Stephen Ducharme 1
1 Department of Physics and Astronomy and Nebraska Center for Materials and Nanoscience, University of Nebraska-Lincoln, Lincoln, Nebraska, United States, 2 Department of Chemistry and Nebraska Center for Materials and Nanoscience, University of Nebraska-Lincoln, Lincoln, Nebraska, United States
Show AbstractIn the pursuit to improve energy storage devices, materials with a high energy density are desirable. One possible solution is to combine high dielectric constant materials with high breakdown strength materials [1]. We propose to design a composite material that combines barium titanate nanoparticles with ferroelectric polyvinylidene fluoride (PVDF) or other similar materials as a host matrix.
One of the issues with composite materials is to have a good dispersion of the guest particles in the host matrix. Our answer to this problem is to coat the particles with a dielectric, such as alkylphosphonic acids and VDF oligomers [2]. VDF oligomers are advantageous since they have similar chemical and structural properties as PVDF. In addition, the VDF oligomers have the benefit over many of the other materials including the alkane chains since they will facilitate polarization between the particles and the matrix. By using oligomers terminated with the proper functional group, we have attached them to the barium titanate nanoparticles and combined them with different host materials.
We will be presenting dielectric properties of the composite materials. In addition, we will be showing microscopy characterization of thin films constructed by spin coating and Langmuir Blodgett deposition.
[1] Lei Zhang, Stephen Ducharme, and Jiangyu Li , Appl. Phys. Lett. 91, 172906 (2007)[2] Kim et al., ACS Nano, 3 (9), 2593–2600 (2009)
6:00 PM - N6.35
Synthesis of Biocompatible Magnetic Iron Oxides (g-Fe2O3 and Fe3O4) Nanoparticles by a Modified Polyol Process for Biomedical Applications.
Georgia Basina 1 , Vasilis Tzitzios 1 , Ioannis Panayiotopoulos 2 , George Hadjipanayis 3 , Eamon Devlin 1 , Levent Colak 3 , Constantinos Hadjipanayis 4 , Dimitris Niarchos 1
1 Materials Science, National Center of Scientific Research "DEMOKRITOS", Athens Greece, 2 Materials Science and Engineering, University of Ioannina, Ioannina Greece, 3 Department of Physics & Astronomy, University of Delaware, Newark, Delaware, United States, 4 School of Medicine Department of Neurological Surgery Winship Cancer Institute , Emory University, Atlanta, Georgia, United States
Show AbstractAmong magnetic materials, iron oxides are of particular interest due to their unique magnetic properties, chemical stability and biocompatibility. Magnetic iron oxide nanoparticles based on Fe3O4 and γ-Fe2O3 have been used in information storage, catalysis, environmental protection and biomedical applications such as Magnetic Resonance Imaging (MRI).In this study, magnetic iron-oxides particles were prepared in the 6-15 nm diameter range by simultaneous thermal decomposition of ferrous and ferric inorganic salts in polyethylene glycols (PEG’s) with various molecular weights. PEG’s are environmental friendly, amphiphilic and biocompatible reagents, with reducting action giving the opportunity to prepare Fe3O4 particles under N2 atmosphere, without the need of alkaline conditions (KOH or NaOH). The reactions occurred in the presence of surfactant polyvinyl pyrrolidone, a water-soluble polymer, so as the particles can be additionally protected from oxidation as well to provide them solubility in water. The particles are highly crystalline and obtain the magnetization value of 68 emu/g at room temperature, a very high value regarding the small size of particles (~ 11 nm) and the non-magnetic layer of polymer (~20% w.t.). On the other hand, g-Fe2O3 particles can be successfully prepared following the same process under air atmosphere. Both maghemite and magnetite particles that are synthesized by modified polyol process are more uniform with better size distribution in comparison to other aqua based chemical methods such as coprecipitation. Finally, turning into an advantage the amphiphillic behavior of polyethylene glycols, magnetic iron oxides nanoparticles, soluble in polar and non-polar media, can be synthesized by using different surfactants such as oleic acid, oleyl amine, 11-mercaptoundecanoic acid and pluronic F-127. Specially, when Pluronic-F127 is used for surface treatment, the iron oxide particles are water soluble and easily form stable ferrofluids. The particles have been characterized by X-ray diffraction, transmission electron microscopy, VSM, TGA, FT-IR and Mossbauer spectroscopy.Consequently, the modified polyol process presented in this study is a very simple and reproducible method. It is based on the use of inexpensive and environmental friendly raw materials leading to the formation of magnetic iron oxides particles with controllable size, surface functionality and structure.
6:00 PM - N6.36
Residual Strain and Interfacial Dislocations of (Ba0.3Sr0.7)TiO3 Films Grown on In-plane Compressive Substrates.
Dong Su 1 , Tomoaki Yamada 2 , Hiroshi Funakubo 2 , Yimei Zhu 1
1 Center for Functional Materials, Brookhaven National Laboratory, Upton, New York, United States, 2 Department of Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama Japan
Show Abstract(Ba,Sr)TiO3 (BST) has been considered as a potential material for various applications such as tunable devices and dynamic random access memories, and therefore has been extensively investigated. It has been well known that the misfit strain in (Ba,Sr)TiO3 films strongly influences the dielectric properties; therefore, the control of the misfit strain is of importance for designing the performance of the devices. (100)- and (111)- (Ba0.3Sr0.7)TiO3 epitaxial films were grown on (100)- and (111) SrTiO3 substrates by rf magnetron sputtering. The residual strain was found to be remarkably different in those films. The (100)-BST films are fully constrained by the substrate, resulting in the large in-plane compressive strain. On the other hand, the (111)-BST films are almost fully relaxed. In this work, to understand the difference of the residual strain, we investigated the microstructure of (100) and (111) BST epitaxial films were grown on (100) and (111) SrTiO3 substrates using transmission electron microscopy (TEM). We found in the (100)-BST films the misfit dislocations have burgers vectors along <100> and the threading dislocations have burgers vectors along <100> and <110>. The threading dislocations formed with a half loop mechanism in connection with the threading dislocations. The results of (100)-BST films are in consistent with the previous reports on (100)-epitaxial perovskite films. The dislocation structures of (111)-epitaxial films are different: The misfit dislocations are along <11-2> and their burgers vectors are along <1-10>. One the other hand, two types of threading dislocations with burgers vector along <1-10> and <100> are found respectively in upper and lower thin film. These two types of threading dislocations formed a network structure. The difference of the dislocation nature is suggested to be the reason of the difference of the residual strain in these two films.
6:00 PM - N6.37
Magnetic Properties of Epitaxial CoFe2O4-SrTiO3 and CoFe2O4-MgO Nanocomposite Thin Films.
Stacy Baber 1 , Q. Lin 1 , M. Naalla 1 , Hongmei Luo 1 , G. Zou 2 , S. Baily 2 , H. Yang 2 , E. Bauer 2 , T. Mark McCleskey 2 , A. Burrell 2 , Q. Jia 2
1 Chemical Engineering, New Mexico State University, Las Cruces, New Mexico, United States, 2 Materials Physics and Applications Division, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractAmong the family of spinel ferrite materials, cobalt ferrite (CoFe2O4) has received much attention due to its unique magnetic properties and its potential technological applications. The large magnetostriction coefficient makes CoFe2O4 an excellent candidate in composite materials. Self-assembled oxide nanocomposite CoFe2O4-SrTiO3 and CoFe2O4-MgO thin films are successfully grown on LaAlO3 substrates by a novel chemical solution approach of polymer-assisted deposition by mixing individual aqueous solutions of Co, Fe, Sr, Ti, and Mg bound to ethylenediaminetetraacetic acid (EDTA) and polyethyleneimine (PEI) polymers. The two phases in the composites are epitaxial with respect to each other as well as with respect to LaAlO3. The magnetic properties of CoFe2O4 in CoFe2O4-SrTiO3 and CoFe2O4-MgO composites are studied. As compared to single-phase magnetic isotropic CoFe2O4 films, CoFe2O4-SrTiO3 and CoFe2O4-MgO composite films show reduced coercivity and enhanced magnetic anisotropy.
6:00 PM - N6.39
Tuning Magnetoresistance in Strained La0.7Sr0.3MnO3 Films.
Shaobo Zhu 1 , Franklin Wong 1 , Jodi Iwata 1 , Rachel Luo 1 , Yuri Suzuki 1 2
1 Materials Science and Engineering, University of California, Berkeley, California, United States, 2 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractMixed-valent manganites have been widely studied for their colossal magnetoresistive (CMR) properties as they relate to the competition between double exchange and lattice effects for different levels of hole doping. Thin-film heteroepitaxy provides a means for tuning lattice effects in order to modify the magnetic and transport properties of CMR materials. There have been numerous studies regarding the effects of tetragonal distortions in (001) heteroepitaxial CMR films; for example, it has been recently observed that ferromagnetism is accompanied by insulating behavior in La0.7Sr0.3MnO3 (LSMO) films grown on (001) LaAlO3 (LAO) substrates [1]. In order to understand the ferromagnetic insulating behavior, we grew 15-90 nm thick LSMO films on (001), (110) and (111) LAO substrates to investigate how the electronic and magnetic properties are affected by different strain states. LSMO grown on LAO substrates are under 2.3% compressive strain. Epitaxial (111) films are trigonally distorted and are under purely shear strains while (110) films are monoclinically distorted and (001) films are tetragonally distorted. Structural characterization indicates that all the (001) films are coherently strained while (110) and (111) films are partially or fully relaxed. Tetragonally strained LSMO films grown on (001) substrates display low-temperature saturation magnetization values reduced by as much as 35% as compared to bulk values and large low-temperature magnetoresistance (MR) values that peak at temperatures below Tc. Trigonally distorted (111) LSMO films and monoclinically distorted (110) LSMO exhibit saturation magnetization values comparable to the bulk. Partially strained (110) and (111) films both show MR peaks near the Curie temperatures, as in the bulk, but exhibit broader MR peaks as a function of temperature, thus suggesting the correlation of lattice distortions and the temperature dependence of MR. Different strain states induced by heteroepitaxial film growth on various substrate orientations may prove to be an effective means for tuning the Tc, magnetization, magnitude of MR, and temperature range in which high MR is achieved, thereby shedding light on how to methodically design properties in related mixed manganites via lattice distortions.[1] C. Adamo, X. Ke, H. Q. Wang, H. L. Xin, T. Heeg, M. E. Hawley, W. Zander,J. Schubert, P. Schiffer, D. A. Muller, L. Maritato and D. G. Schlom, Appl. Phys. Lett. 95, 112504 (2009).
6:00 PM - N6.4
Influence of Pulsed Laser Deposition Energy Density on (Ba,Sr) TiO3 Dielectric Properties.
Marwa Ismail 1 , Sebastien Delprat 1 , JaeHo Oh 1 , Mohamed Chaker 1
1 Énergie, Matériaux et Télécommunications, Institut National de la Recherche Scientifique (INRS), Québec, Quebec, Canada
Show AbstractHighly oriented BST films are grown on MgO substrates by reactive pulsed laser deposition at different laser energy densities by changing either the laser spot area or the laser energy on the target. A systematic study of the microstructure and the microwave dielectric properties of the BST films obtained is performed using X-ray diffraction (XRD) and inter-digital capacitor (IDC) characterizations. These measurements show that there is a strong correlation between the laser fluence, the microstructure, and the dielectric response of the films. The highest figure-of-merit are obtained for films deposited at low energy densities that exhibit a lattice parameter close to BST bulk material. These results demonstrate that, apart from the usually explored deposition parameter such as the deposition pressure and temperature, the laser energy density has an important influence on the structure of BST films and their performance at microwave frequency.
6:00 PM - N6.40
Formation of Perovskite/Spinel Nanocomposite Materials on Spinel Substrates Exhibiting Magnetoelectric Coupling.
Ilan Stern 1 , Punam Silwal 1 , Xiaolan Zhou 1 , Ludi Miao 1 , Dae Ho Kim 1
1 Physics and Engineering Physics, Tulane University, New Orleans, Louisiana, United States
Show AbstractMultiferroic materials have garnered much attention in recent years due to their intriguing technological and industrial applications. Of particular interest, epitaxial nanocomposite of complex oxides such as BiFeO3-CoFe2O4 exhibit magnetoelectric coupling and are being studied for their electric tunability. Previous studies have shown that epitaxial nanocomposite films of BiFeO3-CoFe2O4 grown on SrTiO3substrate with (001) orientation by Pulsed Laser Deposition (PLD) have self-assembled into nanostructures with CoFe2O4 nanopillars heteroepitaxially embedded in a BiFeO3 matrix. Following studies have led to the conclusions that substrate orientation is the dominant factor in determining the structural make up of the ferromagnetic nanopillars and ferroelectric matrix. To gain more detailed understanding of the mechanism of nanostructure formation, we adapted MgAl2O4 substrates with spinel structure to grow the perovskite/spinel nanocomposites. We have grown BiFeO3-CoFe2O4 epitaxial composite films on MgAl2O4 substrates with various crystalline orientations with pulsed laser deposition. Clear confirmation of the epitaxial growth of the desired phases has been verified using high resolution X-ray diffraction. Further studied using techniques such as SEM and AFM revealed interesting structures consisting of a network of linear mounds forming right angles on the films grown on a (001) oriented substrates. The observed systematic change in the crystalline structures proves the important role of crystalline structure and orientation of the substrates in the formation of epitaxial nanocomposites.
6:00 PM - N6.41
Comparison of Gradient and Gradient-free PZT Thin Films Obtained by Sol-gel Routes.
Florian Calame 1 , Paul Muralt 1
1 , EPFL, Lausanne Switzerland
Show AbstractChemical solution deposition with a sol-gel route is a frequently used technique to deposit PZT thin films for MEMS devices in the development stage. Possibly, it is even the technique delivering the best films in terms of the transverse piezoelectric coefficient. This means that very dense films are obtained, in spite of the considerable shrinkage going on during pyrolysis and crystallization anneal. In a previous work, we elaborated a process to avoid excessive formation of gradients during the crystallization anneal [1]. In this work, the crystallization and densification processes are investigated in more detail, justifying also a posteriori the assumptions made in the theoretical explanations given in the earlier paper, such as the high diffusivity of Ti and Zr in the amorphous phase. Furthermore, films with gradients and without gradients are compared. The phase evolution of sol-gel PZT thin film during annealing is of primary importance to understand the mechanism of crystallization and growth modes. We investigated by X-ray, TEM and SEM a series of films with prematurely stopped crystallization anneals. The investigated, as deposited films were amorphous. During crystallization annealing, a growth front starting from the bottom electrode (with PbTiO3 seed layer) moved through the film. In the amorphous part, small amounts of fluorite nuclei were found, which however, seem to transform as well to perovskite without leading to pores. The observed growth corresponds well to a densification process with vertical diffusion only, as required to obtain dense thin films. Gradient free films were obtained using 4 different precursor compositions for a single 250 nm PZT 53/47 layer. These 4 compositions establish a counter gradient to compensate the higher likelihood of Ti capture by the perovskite lattice. Without this measure, the Zr/Ti gradient in the final perovskite phase amounts up to +/- 20 %. Such a large variation leads to a tetragonal-rhombohedral phase mixture at the morphotropic phase boundary, which is well observed by X-ray diffraction and TEM dark field imaging. By removing the gradient, much improved properties at the MPB were observed: A relative dielectric constant of 1600, and a transverse piezoelectric coefficient of 17 C/m2. F. Calame, P. Muralt, Growth and properties of gradient free sol-gel lead zirconate titanate thin films, Appl.Phys.Lett. 90, 062907 (2007).
6:00 PM - N6.42
Interfacial Magnetoelectric Coupling in Tri-component Superlattices.
Jaekwang Lee 1 , Na Sai 1 , Alex Demkov 1
1 The Department of Physics, The University of Texas at Austin, Austin, Texas, United States
Show AbstractWith recent breakthroughs in fabricating high-quality oxide films, ultra thin ferroelectric (FE) films have attracted significant attention. In particular, there is strong interest in composite heterostructures that combine materials with ferroelectric and ferromagnetic (FM) properties to realize electrically controllable magnetization.We consider theoretically so-called tricolor structures or asymmetric capacitors with one electrode being ferromagnetic and other normal metal. These structures differ from the symmetric FM/FE/FM heterostructures that have been explored so far. In asymmetric heterostructure, the broken inversion symmetry permits a leading magnetoelectric coupling between the ferroelectric and magnetic order PM2 that is linear in the electric polarization of the FE in the free energy expansion and hence can be electrically controlled. To investigate the polarization-dependent magnetization change in the iron layer we construct the tricolor superlattices comprised of Fe/BaTiO3/Pt, Fe/PbTiO3/Pt and perform first principles calculations at the LSDA+U level. We also discuss how one can separate electrostatic effect from interface chemistry. Our results have implications for the transition metal oxide interfaces with metal in general.This work is supported by the Office of Naval Research under grant N000 14-06-1-0362 and Texas Advanced Computing Center.
6:00 PM - N6.43
Spin-polarized 2DEG Through Electrostatic Field Doping in LaAlO3-EuO Heterostructures.
Jaekwang Lee 1 , Na Sai 1 , Alex Demkov 1
1 The Department of Physics, The University of Texas at Austin, Austin, Texas, United States
Show AbstractRecent advances in thin film growth have made possible complex oxide heterostructures in which well controlled interfaces lead to new functionalities not present in the bulk. For example, a junction between a polar and nonpolar oxide LaAlO3/SrTiO3 (LAO/STO) made with the LaO/TiO2 heterointerface forms a 2-dimensional electron gas (2DEG) with magnetic and superconducting properties. We recently first presented theoretical calculations in support of the electrostatic doping model for the n-type LAO/STO interface and offered a consistent picture explaining the origin of charge and its localization at the interface: the strong internal electric field of the polar oxide (LAO) causes charge transfer to the conduction band of the adjacent oxide STO. In this talk we extend this concept to explore theoretically the possibility of creating a spin polarized 2DEG at the heterointerface between LAO and ferromagnetic semiconducting EuO. We use density functional theory within the generalized gradient approximation (GGA) as implemented in the VASP code and projector augmented wave (PAW) pseudopotentials. For EuO to describe the strongly localized 4f states we apply a Hubbard U correction on Eu. We found that the amount of spin polarized charge transferred from LaAlO3 to EuO is of the order of 4.0×1013 cm-2 and then exponentially decays to 5-6 EuO (≈ 10Å). Assuming that each additional spin polarized electron transferred to the interface (which is equivalent to ≈ 7.45×1014 cm-2) contributes to an additional 1μB of magnetic moment to the 5d state. Therefore we expect that the electrostatic doping of ≈4.0×1013 cm-2 to the interface induce a change of magnetic moment of 5×10-2μB. The calculated magnetic moment peaks at ~5.0×10-2μB at the interface and drops to almost zero as one gets closer to the central region of the EuO, which suggests that the transferred charge is indeed 100% spin polarized.
6:00 PM - N6.44
Enhanced Piezoelectric Composites With Embedded Auxetic Fibres.
Andrew Dent 1 , Chris Bowen 1 , Vitaly Topolov 2 , Chris Smith 3
1 Dept. of Mechanical Engineering, University of Bath, Bath United Kingdom, 2 Dept. of Physics, Southern Federal University, Rostov-on-Don Russian Federation, 3 School of Engineering, Computing & Mathematics, University of Exeter, Exeter United Kingdom
Show AbstractPiezoelectric 1–3 type composites usually consist of one dimensional PZT rods or fibres embedded in a continuous polymer matrix. The 1–3 composites are of interest since they exhibit large hydrostatic piezoelectric coefficients dh(=d33+2d31), gh(=dh /ε33) and the hydrostatic figure of merit dh.gh, making these composites candidate materials for SONAR and hydrophone applications. The hydrostatic performance of the composites arise from the lateral contraction (d31) in response to an applied electric field, that is reduced in magnitude compared to the dense monolithic material.One approach to further reduce the magnitude of the lateral contraction, consists of using a negative Poisson’s ratio or auxetic polymer as a matrix material. However, the porous and foamed nature of many auxetic polymers makes embedding fine scale piezoelectric rods into such materials difficult, since this limits the degree of bonding and strain transfer between the rod and matrix. This paper examines the possibility of creating 1–3 type composites using auxetic fibres, which have recently become available. The auxetic fibres are embedded in the polymer matrix previously reinforced with the piezo-ceramic rods to form a 1–(1–3) ferroelectric ceramic/ auxetic fibre/ polymer composite. The paper will present modelling and simulation results of the influence of composite architecture (volume fraction, phase distribution, etc.) on the hydrostatic parameters and their maxima. These findings will guide further improvements in the underlying materials and techniques for incorporating auxetic components, for enhanced piezoelectric composite devices.
6:00 PM - N6.45
Correlation Between Antiferromagnetism and Transport in Epitaxial CaMnO3-δ Thin Films.
Xiaofang Zhai 1 , Chunyong He 1 , Marco Liberati 2 , Elke Arenholz 2 , Arturas Vailionis 3 , Yuri Suzuki 1
1 Materials Science and Enginnering Department, University of California at Berkeley, Berkeley, California, United States, 2 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Geballe Laboratory for Advanced Materials, Stanford University, Stanford, California, United States
Show AbstractPerovskite CaMnO3 (CMO) is the parent compound of materials with several emergent magnetic phenomena, such as colossal magnetoresistance in (La,Ca)MnO3 and interfacial ferromagnetism in CMO/CaRuO3 superlattices consisting of two non-ferromagnetic materials. In bulk it is a G-type antiferromagnetic (AFM) insulator with a Neel temperature of approximately 120K. In thin film form, its properties can deviate from the bulk due to variations in stoichiometry, epitaxial strain state etc. We have studied the magnetic and transport properties of tensilely strained and relaxed CMO thin films grown on LaAlO3 and SrTiO3 substrates respectively. Films were grown by reflective high energy electron diffraction (RHEED) assisted pulsed laser deposition at 700°C and in an oxygen atmosphere ranging from 0.03mtorr to 80mtorr. X-ray diffraction indicates that CMO films grown on (001) LaAlO3 substrates are under coherent tensile strain while those on (001) SrTiO3 substrates are fully relaxed due to the larger film-substrate lattice mismatch. X-ray absorption spectroscopy indicates that the Mn cations are largely in a 4+ state. We found oxygen vacancies to play different yet important roles in strained CMO and relaxed CMO. In the tensilely strained CMO thin film, lower oxygen pressure growth that induces oxygen vacancies suppresses the antiferromagnetic (AFM) transition. The transition decreases from 111K to 94K as the growth pressure is decreased from 80mtorr to 0.03mtorr. In relaxed CMO thin films, the AFM transition is extremely robust to variations in oxygen growth pressure. Through a careful study of electrical transport in both types of CMO thin films, we find that they exhibit a small polaron type electrical transport ln(ρ/T) ~ 1/T above the AFM transition temperature and the Efros-Shklovskii type hopping law ln(ρ) ~ 1/T½ below the transition.
6:00 PM - N6.46
A Multiscale Correlation of the Structural and Magnetic Properties of Complex Metal Oxide Thin Film Composites.
Steven Spurgeon 1 , Christopher Winkler 1 , Brian Kirby 2 , Craig Johnson 1 , Gowtam Atthipalli 3 , Jennifer Gray 3 , Mitra Taheri 1
1 Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 2 Center for Neutron Research, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 3 Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractOxide coated iron composites show promise for use in novel induction core and spintronics designs because of their high electrical resistance, thermal stability, and enhanced magnetic flux carrying capability. We have studied iron-oxide thin film interfaces of varying chemistries and thicknesses grown using electron beam deposition. A combination of high resolution atomic and magnetic characterization techniques have allowed us to identify a promising class of coatings, including magnesium oxide and various ferrites. Using scanning electron microscopy, transmission electron microscopy, local-electrode atom probe microscopy, as well as bulk magnetic measurements and neutron reflectivity, we have correlated microstructure and magnetic order at the iron-oxide interface. Measurements conducted over a range of length scales illustrate the complex relationship between growth conditions, chemistry, and the resulting magnetization, coercivity, and saturation induction of the composites. A fundamental understanding of these coatings will pave the way for tunable control of magnetic properties through microstructure modification.
6:00 PM - N6.47
Ferroelectric and Ferromagnetic Properties of Co-doped BiFeO3 Thin Films.
Danilo Barrionuevo 1 , Surinder Singh 2 , Maharaj Tomar 3
1 Physics, University of Puerto Rico Recinto Mayaguez, Mayaguez, Puerto Rico, United States, 2 Engineering Science and Materials, University of Puerto Rico Recinto Mayaguez, Mayaguez, Puerto Rico, United States, 3 Physics, University of Puerto Rico Recinto Mayaguez, Mayaguez, Puerto Rico, United States
Show AbstractMultifunctional materials are of great interest for novel devices. We have synthesized Bi(Fe1-yCoy)O3 material system by solution route for various compositions and thin films were prepared by spin coating on Pt (Pt/Ti/SiO2/Si) substrates using a spin-coating. Films were analyzed by x-ray diffraction, Raman spectroscopy, and dielectric spectroscopy. X-ray diffraction analysis confirms intense (1 1 0) peak of BiFeO3 (BFO) and the co-doped films (BFCO) show rhombohedra distorted perovskite structure without impure phase. Films show both ferroelectricic and ferromagnetic polarization at room temperature. Significant improvement in ferroelectric and ferromagnetic properties could be attributed to the elimination of oxygen vacancies and increased stress in the crystal structure by partial replacement of Fe2+ ion by Co2+ ion.
6:00 PM - N6.48
Giant Positive Magnetoresistance of Ferromagnetic Semiconductor Oxide Nanomaterials.
Yufeng Tian 1 2 , Ryan Souza 1 , Shi-shen Yan Yan 2 , You Qiang 1
1 Physics Department, University of Idaho, Moscow, Idaho, United States, 2 School of Physics and Microelectronics, Shandong University, Jinan, Shandong China
Show AbstractMuch interest has been sparked by discovery of ferromagnetic oxide semiconductors doped with a few percent of transition metal (TM) cations. The development of ferromagnetic oxide semiconductor nanomaterials with giant magnetoresistance (MR) offers many advantages in spintronics device design and fabrication for future miniaturization of computers. Among them, TM-doped ZnO is an extensively studied n-type wide-band-gap (3.36 eV) semiconductor with a tremendous interest as future mini-computer, blue light emitting, and solar cells. In this talk, Co-doped ZnO and Co-doped Cu2O semiconductor nanoclusters are successfully synthesized by a third generation sputtering-gas-aggregation cluster technique at the University of Idaho. The Co-doped nanoclusters are ferromagnetic with Curie temperature above room temperature. Both of Co-doped nanoclusters show positive magnetoresistance (PMR) at low temperature, but the amplitude of the PMRs shows an anomalous difference. For similar Co doping concentration at 5 K, PMR is greater than 800% for Co-doped ZnO but only 5% for Co-doped Cu2O nanoclusters. Giant PMR in Co-doped ZnO which is attributed to large Zeeman splitting effect has a linear dependence on applied magnetic field with very high sensitivity, which makes it convenient for the future spintronics applications. The small PMR in Co-doped Cu2O is related to its vanishing density of states at Fermi level. Research work is supported by DOE-BES and DOE-EPSCoR.Reference:1.Y.F. Tian, J. Antony, R. Souza, S.S. Yan, L.M. Mei and Y. Qiang, “Giant positive magnetoresistance in Co-doped ZnO nanocluster films”, Appl. Phys. Letters, 92, (2008) 192109 2.Jiji Antony, You Qiang, Faheem Muhammad, Daniel Meyer, David E. McCready, and Mark H. Engelhard “Ferromagnetic semiconductor nanoclusters: Co-doped Cu2O”, Appl. Phys. Letters, 90, (2007) 013106.3.Y.F. Tian, J. Antony, R. Souza, S.S. Yan and Y. Qiang, “Zeeman splitting induced positive magnetoresistance in Co-doped ZnO and Co-doped Cu2O ferromagnetic nanoparticles”, IEEE Transactions on Magnetics, 44 (2008) 11, 2712-2714. 4.Y. F. Tian, Shi-shen Yan, Q. Cao, J. X. Deng, Y. X. Chen, G. L. Liu, L. M. Mei, and Y. Qiang, “Origin of large positive magnetoresistance in the hard-gap regime of epitaxial Co-doped ZnO ferromagnetic semiconductors”, Phys. Rev. B 79, 115209, (2009).
6:00 PM - N6.49
Phenomena And Mechanism On Phase Transformation Twinning In Nanocrystalline BaTiO3.
Sujata Mazumder 1 , Jiten Ghosh 1
1 Analytical Facility Division, Central Glass & Ceramic Research Institute,CSIR, Kolkata, West Bengal, India
Show AbstractThe detailed structure of nanocrystalline BaTio3 powder during ball milling has been studied using XRD & TEM. The study illustrates important advances in understanding atomic scale properties of this material. Ferroelectric BaTiO3 powder undergoes phase transformation along the sequence Cubic(Pm3m)-tetragonal(Prmm)-orthorhombic (Amm2)-rhombohedral(R3m) structure when pressureless sintered samples are cooled from high temperature to low temperature. The high to low symmetry phases are not related to group subgroup symmetry as transformation is discontinuous and first order in nature and the twin relationship in the low symmetry is forbidden by Landau theory. In case of ball milled BaTiO3 powder a continuous and diffusionless phase transition occur via second order to and from a metastable intermediate phase. In this pathway crystallites in the aggregation are twinned and the twin structure is related to crystal point group m3m which in the present case is illustrated as having 6mm symmetry formed under low driving force. The unit cell evolution due to phase transition and the crystallographic relationship are established.The phase transformation, coalescence and twin structure of thermally annealed BaTiO3 nanocrystals under high vacuum has been investigated using in situ high temperature XRD. These coalesced nanocrystals do not form a single grained structure, instead twinning becomes a characteristics structural feature. The structure analysis is performed with the use of the method of computer modelling of disorder structure and simulation of corresponding diffraction patterns.
6:00 PM - N6.5
Vertical (La,Sr)MnO3 Nanostructures from Track-etched Polymers Directly Buffering Substrates.
Adrian Carretero Genevrier 1 , Narcis Mestres 1 , Teresa Puig 1 , Jaume Gazquez 1 , Xavier Obradors 1 , Etienne Ferain 2
1 Superconductors materials , ICMAB-CSIC, Barcelona, Barcelona, Spain, 2 Unité de Physique et de Chimie des Hauts Polymères, Université Catholique de Louvain, Louvain la Neuve Belgium
Show AbstractA method of preparing vertical complex oxides nanostructures by sol-gel based polymeric precursor solution is performed by using a novel version of track etched polymer templates directly buffering single crystalline substrates. The main goal here is to generate with this method vertical nanostructures inducing nanostructuration across the entire substrate, the dimensions and localization of these vertical nanostructures being pre-set by the polymeric nanotemplate. As a model system, La0.7Sr0.3MnO3 polycrystalline nanorods in the range of 100 to 300 nm in lateral sizes and up to 500 nm in height have been grown on STO and LAO substrates at mild temperatures (800 C), using a polycarbonate nanotemplate buffer layer. The nanorods suffer a profound transformation into vertical single crystalline (La,Sr)xOy nanopyramids sitting onto a LSMO epitaxial wetting layer, upon strong thermal activation (1000 C). The driving force for this outstanding nanostructural evolution is the minimization of the total energy of the system that is reached by reducing the grain boundary energy and total surface and strain relaxation energies. Finally, advanced electron microscopy techniques were used to highlight the complex phase separation and structural transformation occurring when the metastable state is overcome.
6:00 PM - N6.50
Shape Controlled Synthesis of Ferroelectric Barium Titanate Colloidal Nanocrystals.
Shiva Adireddy 1 2 , Cuikun Lin 1 2 , Gabriel Caruntu 1 2
1 Chemistry Department, University of New Orleans, New Orleans, Louisiana, United States, 2 Advanced Materials Research Institute, University of New Orleans, New Orleans, Louisiana, United States
Show AbstractWe report on the rational synthesis of free standing variable-shaped BaTiO3 nanoparticles obtained through a solvothermal approach at temperatures as low as 135°C. Oleic acid-capped nanocrystals are quasi-monodisperse and single-crystalline barium titanate (BTO) nanoparticles and their shape can be conveniently varied from spherical to cubic by tuning the reaction parameters such as the nature of the precursors, the reaction time and temperature and the polarity of the solvent. A detailed characterization of the phase structure, purity, surface composition and optical properties was performed by powder X-ray diffraction (XRD), Raman spectroscopy, transmission electron microscopy (TEM, HRTEM and SAED), thermogravimetric and differential thermal analyses (TG-DTA), Fourier transformation infrared spectroscopy (FTIR) and photoluminescence spectroscopy. The nanocrystals have the tendency to self-organize into highly ordered hexagonal close-packed arrays and superlattices. Although not evident from XRD data, Raman spectroscopy data suggested the existence of an acentric arrangement of the Ti4+ ions within the crystal lattice corresponding to a tetragonal symmetry. The existence of a long range distortion was further confirmed by the ferroelectric behavior of the nanocrystals at room temperature with a saturation polarization of 210 μC/cm2 and a remanent polarization of 80kV/cm. Cube-like BaTiO3 nanocrystals possess a strong absorption band which shifts from 559 to 668nm by increasing the excitation wavelength from 390nm to 510nm. The photoluminescence properties of the nanopowders have been ascribed to the existence of localized states in the band gap generated by the off-center shift of the Ti4+ ions within the TiO6 octahedra. These results corroborate well the Raman spectroscopy data indicating a tetragonal symmetry of the BaTiO3 nanopowders.
6:00 PM - N6.51
Self Assembly of Magnetic and Multiferroic Nanostructures.
Thomas Quickel 1 , Richard Farrell 1 , Sarah Tolbert 1
1 , UCLA, Los Angeles, California, United States
Show AbstractUtilizing solution phase assembly methods we have been able to synthesize novel templated mesoporous frameworks of single phase multiferroic bisumuth ferrite, BiFeO3. The metal oxide framework has a highly accessible open network of pores averaging 15 nm in diameter. The domain sizes of the crystallites are tunable from 3 to 15 nm. Bismuth ferrite, normally an antiferromagnet in the bulk, shows ferromagnetic hysterisis at room temperature for the multiferroic frameworks. The pore matrix provides unparalleled surface area access for exchange bias coupling with ferromagnetic layers for magnetic signal amplification. Furthermore, porous bismuth ferrite films show an amplified response to electrical field poling studies when compared to their dense film counterparts. Due to the accessibility of their large surface area, porous frameworks provide a powerful pathway to materials that rely on strain for the achievement of coupling. As such composite multiferroics have been produced utilizing highly magnetostrictive cobalt ferrite and piezoelectric lead zirconium titanate.
6:00 PM - N6.52
Epitaxial Multiferroic BiFeO3 Thin Film Grown by Polymer-assisted Deposition.
Junyi Zhai 1 , Yingying Zhang 1 , Jie Xiong 1 , Guifu Zou 1 , Quanxi Jia 1
1 MPA-STC, Los Alamos National Lab, Los Alamos, New Mexico, United States
Show AbstractThin film epitaxial multiferroic BiFeO3 has been reported have larger polarization than bulk one. A lot of methods such as PLD, CVD and sol-gel method have used to deposit epitaxial BiFeO3 or metal-doped BiFeO3 thin film. Here we report a new type of chemical solution technique, polymer assisted deposition (PAD) to deposit ultra-thin epitaxial multiferroic BiFeO3 (20 nm) films on MgO buffered Silicon wafer by a new type of chemical solution technique, polymer assisted deposition (PAD). Structure analysis by TEM and XRD indicates the crystal structure is monoclinic. Magnetic and electric properties of the films are investigated. This method can be used to deposit BiFeO3 thin film in large area and the cost is cheap.
6:00 PM - N6.53
Strain-induced Ferroelectricity in Simple Rocksalt Binary Oxides.
Eric Bousquet 1 2 , Nicola Spaldin 1 , Philippe Ghosez 2
1 Materials Department, University of California Santa Barbara, Santa Barbara, California, United States, 2 Physics, Liege University, Liege Belgium
Show AbstractRock salt binary AO oxides form an important family of compounds which was intensively studied, both experimentally and theoretically. In comparison to multifunctional ferroelectric perovskite oxides, their practical applications remain however limited and the emergence of ferroelectricity and related functional properties in simple binary oxides seems so unlikely that it was never previously considered. Here, we first show from first-principles density functional calculations that ferroelectricity can be easily induced in simple alkaline earth binary oxides such as BaO using appropriate epitaxial strains. We point out that the functional properties (polarization, dielectric constant and piezoelectric response) of such strained binary oxides are comparable in magnitude to those of typical ferroelectric perovskite oxides, so making them of direct interest for applications. We also show the possibility to induce ferroelectricity under epitaxial strain in ferromagnetic rock salt binary oxides like EuO, and so to make it multiferroic. Interestingly, the epitaxial strain is expected to increase the ferromagnetic Curie temperature together with inducing ferroelectricity suggesting a new route to achieve multiferroism at high temperature by combining ferroelectric and magnetic properties in very simple structures.Going further, we build superlattices made of the repetition of different AO oxide layers as BaO/EuO and we highlight the ability to tune the functional properties of the parent compounds as it was realized recently with ABO3 compounds.
6:00 PM - N6.54
Multiferroic CoFe2O4-Pb(Zr0.52Ti0.48)O3 Core-shell Nanofiber by Electrospinning.
Shuhong Xie 1 2 , Jiangyu Li 1
1 Mechanical Engineerting, University of Washington, Seattle, Washington, United States, 2 Faculty of Materials, Optoelectronics and Physics, Xiangtan University , Xiangtan, Hunan, China
Show AbstractMultiferroic CoFe2O4-Pb(Zr0.52Ti0.48)O3 (CFO-PZT) core-shell nanofibers are synthesized by coaxial electrospinning. Scanning electron microscopy images indicate that before and after calcination the diameter of the composite nanofibers is in the range from 150 ~ 400 nm and 100-300 nm, respectively. The spinel structure of CFO and pervoskite structure of PZT are verified by X-ray diffraction and high-resolution transmission electron microscopy. Transmission electron microscopy results confirm that CFO-PZT nanofibers are composed of CFO core and PZT shell. Piezoresponse force microscopy (PFM) is used to probe the ferroelectricity of the multiferroic nanofibers, and Quantum Design Physical Properties Measurement System (PPMS) is used to measure their ferromagnetic properties. Pioezoresponse force microscopy under an external magnetic field is also carried out, confirming the magnetoelectric coupling of the multiferroic composite nanofibers.
6:00 PM - N6.6
Surface Spin-glass Freezing and Blocking in NiFe2O4 Nanoparticles.
Kashif Nadeem 1 , Heinz Krenn 1
1 Institute for Physics, Karl-Franzens University, Graz, Steiermark, Austria
Show AbstractWe prepared single-phase NiFe2O4 nanoparticles separated by SiO2 using sol-gel method with tetraethyl orthosilicate (TEOS) as a precursor for SiO2. After careful pre-characterization (XRD, EDX, FTIR, and TEM), the magnetic properties by using SQUID-magnetometry over broad temperature (4.2–350 K), magnetic field (2–70,000 Oe) and frequency (0.1–1000 Hz) range are investigated. The particle size lies is in the range 8–12nm, excluding smaller particles, since it is reported in literature that exchange bias effect is lost when the particle diameter is less than 4nm. Exchange bias and spin disorder appear at the core-shell interface due to broken bonds on the surface. Disorder and core-shell interaction induces spin glass freezing which is manifested by a low temperature peak in the AC susceptibility well separated from magnetic blocking peak. This low temperature peak is assigned to spin glass freezing. As a function of magnetic field blocking peak merges into the spin glass peak. The proof of spin glass freezing is managed by Zero field cooled/field cooled (ZFC/FC), AC susceptibility, thermoremanent magnetization (TRM), high field open loop hysteresis, and aging effects. Activated dynamics (Arrhenius model) shows a good fit to the frequency dependent AC susceptibility data with attempt frequency 1/τo ~ 3.1010 Hz and activation energy EA/kB = 333 K. In the presence of applied DC field (10–500 Oe) in AC susceptibility, the freezing peak (Tf =13 K) does not shift but is rather damped with increasing field. The higher temperature blocking peak moves towards lower temperature and finally collapses into the low temperature freezing peak as the applied DC field is increased. The time dependence of TRM at different temperatures shows in the vicinity of freezing temperature a non-monotonic behaviour which is also reflected by the variation of coercivity in the same temperature regime. In addition, field dependent (10–40,000 Oe) TRM shows a peak before going into saturation. Our system also exhibits memory effects. All these measurements signify blocking/unblocking at higher temperatures and core-shell mediated spin-glass transition at low temperatures. The aim of our work is to contribute to a better understanding of “spin-frozen” magnetic nanoparticles at diameters 8–12 nm which could be important in future for stabilizing the magnetic state of “core”-based nanomagnets.
6:00 PM - N6.9
K(Ta,Nb)O3 Thin Films and Related Superlattices Grown via Pulsed Laser Deposition.
Joseph Cianfrone 1 , Mat Ivill 3 , Charlee Callender 2 , David Norton 1 , Lynn Boatner 2
1 Department of Materials Science & Engineering, University of Florida, Gainesville, Florida, United States, 3 , Army Research Laboratory, Aberdeen Proving Ground, Maryland, United States, 2 Materials Science Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractArtificial superlattices of oxide materials with different properties provide interesting material systems to investigate as nanoscale effects become increasingly important. Superlattices have shown both the enhancement of inherent properties of the base materials, as well as new properties that did not exist in either of the base materials. SrTiO3 is a well known dielectric material with a cubic structure with a lattice constant of 3.905 Å at 25°C. An interesting ferroelectric material system for understanding and manipulating ferroelectric nanoscale properties is K(Nb,Ta)O3. It is similar to (Sr,Ba)TiO3 in that the solid solution possesses a continuous transition from a paraelectric to a ferroelectric material. KTaO3 is cubic and paraelectric at all temperatures,while KNbO3 exhibits a first-order ferroelectric phase transition accompanied by a change from the cubic to the tetragonal structure at 701 K. As a solid solution, K(Ta,Nb)O3 exhibits a continuous Curie temperature that varies according to the formula Tc(K)=676x+32 (x>0.047). The pseudo-cubic lattice parameter of KNbO3 (ap= 4.014 Å, T=25°C) differs from that for KTaO3 by only 0.6%. Due to its low dielectric loss, high saturation polarization, large electrooptic effects, and low driving voltage for modulation, K(Ta,Nb)O3 is an attractive material for applications such as holographic data storage, parametric oscillators, pyroelectric detectors, and second harmonic generators. We have examined the K(Ta,Nb)O3 thin film growth process using reflection high-energy electron diffraction (RHEED). Results from RHEED will be discussed, including changes with variations in growth temperature, background pressure as well as correlations to thin film growth modes. Atomic force microscopy is used to characterize the surface morphology for films grown under these conditions. Superlattices with SrTiO3 have also been successfully grown and characterized via AFM, TEM, X-ray diffraction, and dielectric measurements. They exhibit strong superlattice reflections in X-ray diffraction θ-2θ scans, as well as distinguishable interfaces between layers in cross sectional TEM. This work was sponsored by the Army Research Office.
Symposium Organizers
Alain Pignolet Institut National de la Recherche Scientifique (INRS) /
Universite du Quebec
Hariharan Srikanth University of South Florida
Lionel Vayssieres National Institute for Materials Science
Anke Weidenkaff EMPA - Swiss Federal Laboratories for Materials Testing and Research
N7: Oxides for Energy Technologies - Transport
Session Chairs
Wednesday AM, April 07, 2010
Room 2001 (Moscone West)
9:30 AM - **N7.1
Crystalline Order Boosts Ionic Conductivity of Thin Film Electrolytes for Miniaturized Solid Oxide Fuel Cells.
Enrico Traversa 1
1 MANA, National Institute for Materials Science (NIMS), Tsukuba, Ibaraki, Japan
Show AbstractThe development of micro-solid oxide fuel cells (SOFCs) opens new scenarios for portable unit power generation. Micro-SOFCs are likely to produce energy densities per volume and specific energy per weight up to four times larger than state-of-the-art batteries. Reducing the operation temperature is critical for practical use of miniaturized SOFCs and can be achieved using thin-film electrolytes. Pulsed laser deposition (PLD) is very promising for the fabrication of tailored oxide thin films, because it allows obtaining single crystal or polycrystalline films, with tailored microstructure from dense to highly porous. In particular, high quality crystalline films can be prepared using the suitable substrate to drive epitaxial growth. Increasing the order of crystalline films has been found to boost ionic conductivity and stability of electrolyte thin films for possible use in micro-SOFCs. This talk shows examples of PLD fabricated SOFC electrolytes, such as dense oxygen ion (Sm- and Gd-doped ceria) and protonic (Y-doped barium zirconate) electrolyte films in single crystal and polycrystalline forms. Superlattices made of alternate Gd-doped ceria and yttria-stabilized zirconia layers were also fabricated. Electrochemical measurements showed that the presence of strained interfaces improved the ionic conductivity of superlattices with respect to the single oxide films.
10:00 AM - **N7.2
Ionic and Electronic Transport in Nanoscaled Solid Electrolyte Multilayers.
Juergen Janek 1 , Carsten Korte 1 , Nichole Schichtel 1 , Halit Aydin 1
1 , Justus-Liebig-Universitaet Giessen, Giessen Germany
Show AbstractAn ultimate goal in solid state ionics is to obtain solid electrolytes with sufficient ionic conductivity at low temperatures, e. g. in fuel cell research. One possible route appears to be the use of nanoscaled materials with improved transport properties. Nanoscaled materials contain a high density of interfaces, and these interfaces often provide fast transport paths for atomic motion. In ionic systems, the origin of this effect can have different reasons: a) Interfaces in ionic systems have a space charge layer which may contain a higher number of mobile defects than the bulk. b) Interfaces with sufficient disorder in the core may simply provide faster jump paths for ions, c) Semicoherent of coherent hetero-interfaces may show elastically distorted regions with an increased mobility of charge carriers.In a systematic study [1,2] we investigated the effect of coherency and strain on the ionic transport properties along hetero-interfaces of oxide solid electrolytes. The results show that elastic effects can lead to significant conductivity effects, however, these are smaller than effects of interfacial disorder. A key question in studies of ionic conduction in thin films concerns possible electronic conduction. With 18O tracer experiments we determine the diffusion coefficient and correlate it with the ionic conductivity.Finally, we compare our results with reports on extremely large interfacial conductivities [3] and the results of single film studies. [1] C. Korte, N. Schichtel, D. Hesse, and J. Janek, Influence of Interface Structure on Mass Transport in Phase Boundaries between different Ionic Materials – Experimentals Studies and Formal Considerations, Chem. Monthly (Monatshefte für Chemie) 140 (2009) 1068-1080.[2] N. Schichtel, C. Korte, D. Hesse, and J. Janek, Elastic Strain at Interfaces and its Influence on Ionic Conductivity – Theoretical Considerations and Experimental Studies, Phys. Chem. Chem. Phys. 11 (2009) 3043-3048.[3] J. Garcia-Barriocanal, A. Rivera-Calzada, M. Varela, Z. Sefrioui, E. Iborra, C. Leon, S. J. Pennycook and J. Santamaria, Colossal ionic conductivity at interfaces of epitaxial ZrO2 : Y2O3/SrTiO3 heterostructures, Science 321 (2008) 676.
N9: Nanoelectronics II
Session Chairs
Alain Pignolet
Anke Weidenkaff
Wednesday PM, April 07, 2010
Room 2001 (Moscone West)
2:30 PM - **N9.1
Delafossite CuInO2 Thin Films for Bipolar Conductivity and Resistive Memory Device Applications.
Bodh Mehta 1 , Mandeep Singh 1
1 Thin Film Laboratory, Department of Physics, Indian Instititue of Technology Delhi, New Delhi India
Show AbstractDelafosite thin films have interesting structural, optical and electronic properties due to the highly anisotropic crystal structure and possibility of bipolar conductivity. In this presentation, optical, structural and electrical properties of Sn and Ca doped CuInO2 layers grown by rf magnetron sputtering technique will be discussed. Depending on doping and deposition temperature, these films show nanocolumnar structure with (110) and (006) preferred orientations. The observed decrease in activation energy from 0.9 eV to about 0.10 eV and a large decrease in conductivity from 2.11 × 10-10 Scm-1 to 1.66 × 10-1 Scm-1 on Sn doping has been explained due to the change in preferred orientation along with efficient doping. The anisotropy of electrical conduction along (006) and (110) directions in tin doped samples has been further established using conducting atomic force microscopy (CAFM) measurements. The CAFM measurements shows the presence of nanoconducting region when the current flow direction is aligned along the BO6 layer and complete absence of conducting regions when the current direction is perpendicular to the film surface. Resitive memory devices based on Sn and Ca doped CuInO2 films, both in sandwitch and planar confirgurations, show stable and reproducible ‘on’ and ‘off’ states. CAFM measurement on these devices carried out before and after ‘forming’ show the growth of nanoconducting filaments on the application of a threshold voltage. Our results show that in case of delafoosite thin films, due to the large structural anisotropy, crystallite orientation is the most important parameters for controlling the electrical conductivity and resisitive memory device parameters.
3:00 PM - **N9.2
The Defect Chemistry of pn Junctions in Perovskite Oxides.
Shimon Saraf 1 , Miri Markovich 1 , Avner Rothschild 1
1 Materials Engineering, Technion - Israel Institute of Technology, Haifa Israel
Show AbstractPerovskite-type oxides have been and continue to be at the forefront of solid-state physics because they display a wide range of fascinating phenomena and multi-functional properties. In particular, new functionalities have been discovered recently in oxide heterostructures, paving the road towards the so-called oxide electronics era. However, understanding of the correlation between the defect structure and chemistry of the bulk oxide layers forming these heterostructures and their functional properties is surprisingly poor. In this paper we revisit the physics of the ubiquitous pn junction in pervoskite oxides, taking acceptor (Fe) and donor (Nb) doped SrTiO3 as a model system to demonstrate the unique complexity of the oxide pn junction. Starting from the equilibrium defect chemistry of the bulk acceptor- and donor-doped layers under growth conditions we calculate the concentrations of all charged defects, including not only electrons, holes, and extrinsic impurity defects (dopants) but also intrinsic ionic defects such as oxygen and metal vacancies which often dominate (in addition to the impurities) the defect structure of perovskite oxides. Using these values as boundary conditions we solve Poisson’s equation taking into account the relatively high mobility of oxygen vacancies that can redistribute across the junction in response to the gradient in the electrostatic potential (i.e., electrical field), similarly to electrons and holes. We examine the redistribution of defects across the junction and the evolution of the potential distribution during cooling down to room temperature considering fast and slow cooling schemes as exemplary case studies. We show that simplified calculations considering the oxide pn junction using conventional semiconductor physics and overlooking the role of intrinsic ionic defects give rise to significant errors in estimating junction characteristics such as the built-in potential and space charge region width. Furthermore, we show that growth conditions and cooling schemes have a large impact on the junction properties. Our approach marries defect chemistry and pn junction physics to give full account of these effects, enabling realistic modeling and more accurate evolution of pn junction properties in complex oxides.
3:30 PM - **N9.3
Ferromagnetism at RT and Thickness Dependent Magnetic Transitions in Pristine MgO, and ZnO Sputtered Thin Films.
K. Venkat Rao 1 , Mukes Kapilashrami 1 , Lyubov Belova 1
1 Dept of Materials Science, Royal Institute of Technology, Stockholm Sweden
Show AbstractThe ultimate success of spintronics technology relies heavily on the development of suitable room-temperature ferromagnetic (RTFM) semiconductors. RTFM has been recently reported for undoped MOs such as HfO2, TiO2, MgO and ZnO nanoparticles, the so called d0-magnetic materials to emphasize the fact that the magnetism is not coming from any partially filled d-orbitals but from moments induced in the p-orbitals of the oxygen band. Experimental studies reported on nanoparticles do not enable information on the optimum conditions for obtaining the maximum possible ferromagnetic moment and the appropriate processing parameters. Furthermore, results on studies from nanoparticles are subject to particle size, size distribution, morphology and so on. Thin films, however, in the size range corresponding to that for the nanoparticles, are more reliably produced and characterized from a structural as well as other physical properties point of view.It is in the thin film form we need to understand the properties at a nanoscale for applications. In this present work, we report the first systematic study of the film thickness dependency of RTFM in pristine MgO (~100-500 nm), and ZnO (~100-1000 nm) thin films deposited by reactive magnetron sputtering technique under identical controlled optimum oxygen ambience appropriate for the two oxides. As far as we know this is the first report on ferromagnetic pure MgO thin films. In the case of MgO thin films Ms values varies in the range 0.04-1.58 emu/g with increasing film thickness where the 170 nm thick film shows the highest Ms value. Above this thickness Ms is found to decrease and eventually at 420 nm it displays paramagnetic behaviour followed by the well known diamagnetic property for thickness >500 nm. It is obvious that since the Ms values increase initially with thickness, there has to be a maximum before the films become diamagnetic at some finite thickness. We also note that the Ms values observed for MgO are the highest to be reported for such a defect induced ferromagnetic pristine oxide. In pristine ZnO films, the Ms values are found to vary in the range of 0.18-0.62 emu/g (i.e. 0.005-0.018 μB/unit cell) reaching a maximum value for the 480 nm thick film . In the thicker films (>480 nm) the Ms decreases and eventually for films thicker than 1000 nm we observe the well known diamagnetic property of ZnO. These observations clearly indicate why and when ZnO when doped shows the presence or absence of ferromagnetic order among the dopants depending on the conditions under which the matrix is processed. Clearly, understanding the mechanism for the defect induced magnetism in pristine metal oxides is necessary before doping the host metal oxide matrix with transition metal atoms and speculating on commercially applicable devices.This research is supported by the Swedish funding Agency VINNOVA, and the Hero-M Vinn Centre of Excellence program by VINNOVA at KTH
N10: Nanostructured Oxide Synthesis and Characterization I
Session Chairs
Hiromichi Ohta
Hiroki Sato
Wednesday PM, April 07, 2010
Room 2001 (Moscone West)
4:30 PM - **N10.1
Forays into Multifunctional Nanostructure Synthesis.
Stanislaus Wong 1 2
1 , Brookhaven National Laboratory, Upton, New York, United States, 2 , SUNY Stony Brook, Stony Brook, New York, United States
Show AbstractUniform Mn-doped alkaline-earth metal tungstate nanorods of reproducible size, shape, and composition have been methodically prepared using a modified template-directed methodology under ambient, room-temperature conditions. The dopant ion distribution within the nanostructures does not appear to adversely affect either the structural or crystalline integrity of our as-prepared compounds, as determined by microscopy and diffraction studies. What is much more important is the fact that the presence of manganese ions not only substantially increases the photoluminescent potential of a pristine tungstate material but also reinforces its versatility by adding a desirable magnetic component to its repertoire of properties. In so doing, we have created multifunctional one-dimensional nanorods with exciting opto-magnetic behavior, which should become important for the future incorporation of these materials into functional nanoscale devices, with various potential applications in a number of diverse fields.In a different study, we systematically synthesized single-crystalline alkaline-earth metal tungstate nanorods, as well as a series of their crystalline solid-solution analogues with controllable chemical composition and morphology, using a modified template-directed methodology under ambient room-temperature conditions. The composition-modulated luminescence properties of these alkaline-earth metal tungstate solid-solution nanorods provide for a fundamental understanding of the intrinsic optical and optoelectronic properties of these systems, suggesting, therefore, the possibility of their rational incorporation into functional nanoscale devices.Finally, a novel multifunctional one-dimensional (1-D) nanostructure incorporating both CdSe quantum dots (QDs) and magnetite nanoparticles (NPs) within a silica nanotube matrix has been successfully synthesized. Both the favorable photoluminescent and magnetic properties of QD and NP building blocks were incorporated and retained within the final silica-based composite nanostructure. Moreover, the resulting nanocomposites were found to easily enter into the interiors of HeLa cells without damage, thereby highlighting their capability not only as fluorescent probes but also as possible drug delivery vehicles, of interest to nanobiotechnology.
5:00 PM - **N10.2
Synthesis of Complex-oxide Nanorods via Pulsed-laser Deposition.
John Mathis 1 , Gyula Eres 2 , Claudia Cantoni 2 , Kyunghoon Kim 3 , Hans Christen 2
1 Physical Sciences Dept., Embry-Riddle University, Daytona Beach, Florida, United States, 2 Materials Science and Technology Division, Oak Ridge National Laboratory , Oak Ridge, Tennessee, United States, 3 Physical Sciences Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractComplex oxides exhibit many fascinating properties such as ferroelectricity, ferromagnetism, and superconductivity. Thin films of these materials are finding myriad technical applications ranging from ferroelectric memories to biosensors.It is, therefore, natural to explore and discover what new phenomena arise when these materials are fashioned into lower-dimensional, nano-sized structures, such as nanorods and nanowires. Nanorods composed of complex oxides have been synthesized using hydrothermal and sol-gel methods, but pulsed-laser deposition (PLD) provides precise, layer-by-layer control of growth, and is the method of choice for synthesizing complex structures. However, producing complex-oxide nanorods by PLD has proven elusive.Here we report on our efforts to produce nanorods composed of the best-understood complex oxide, strontium titanate (STO) using PLD. The results suggest it is indeed possible to produce complex-oxide nanorods via PLD.
5:30 PM - N10.3
Optically Active Multi-functional CuO Nanowire-Co3O4 Nanoparticle Heterostructures.
Wenwu Shi 1 , Nitin Chopra 1 2
1 Metallurgical and Materials Engineering, The University of Alabama, Tuscaloosa, Alabama, United States, 2 Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama, United States
Show AbstractHeterostructures based on nanowires and nanoparticles with controlled compositions and interfaces are unique multi-functional nanosystems that are critical for the development of complex and efficient devices. In this regard, nanostructured CuO and Co3O4 are significantly important because of their magnetic, semiconducting, and optical properties. Combining these nanostructured oxides will result in novel properties attributable to their high surface area and functionalities. However, this requires development of a facile and well-controlled synthetic route allowing their direct integration into devices. Here, we report for the first time a synthetic route and optical characterization of heterostrostructured 1-D nanostructures comprising of CuO nanowires and Co3O4 nanoparticles. Our synthetic approach couples chemical vapor deposition (CVD) growth with wet-chemistry method to result in CuO nanowires that are uniformly decorated with Co3O4 nanoparticles. A series of systematic studies and characterization steps (SEM, HRTEM, XRD, and EDS) were undertaken to understand the growth of such heterostructures. It was observed that various growth parameters played an important role in determining the morphology and size of the synthesized heterostructures. HRTEM and XRD confirmed the presence of CuO and Co3O4 phases and a narrow size distribution (~ 7.0±1.5 nm) of Co3O4 nanoparticles on CuO nanowires (68.4±20.5 nm) was observed. Optical studies of heterostructure and the individual nanostructures were performed to understand the bandgap energies and absorbance shifts in the visible region of the spectrum. Such novel multi-functional heterostructures are of immense importance in the development of optoelectronic and magnetic devices.
5:45 PM - N10.4
Self-assembled Oxide Nano Wires Through Selective Growth on Termination Controlled Substrates.
Gertjan Koster 1 , Bouwe Kuiper 1 , Jeroen Blok 1 , Guus Rijnders 1 , Dave Blank 1
1 MESA+ institute of nanotechnology, University of Twente, Enschede Netherlands
Show AbstractThe perovskite class of oxides, with the general compositional formula ABO3 provides a unique toolset of materials to study the structure-composition to property relationship in correlated electronic systems. By using thin film deposition techniques that allow for atomic control, one can essentially build artificial crystal structures bottom up, for example by the deposition of superlattices and heterostructures, where either A or B periodically is varied (or both). Examples of such experiments are the BaCuO2/(Sr,Ca)CuO2 system, which becomes bulk superconducting when fabricated in superlattice form [1], and the LaAlO3/SrTiO3, where the interfaces between the two perovskite blocks seem to dominate the observed electronic behavior [2]. An important observation is that the starting point for these experiments is a single terminated substrate template; typically TiO2 terminated SrTiO3, which can be obtained through well-established chemical etching procedures [3]. On the other hand, in literature, there are examples of spontaneous self-organization of deposited material, with the starting template of the mixed variant [4]. A new approach would be to consider of such a template, where the termination is controlled in such a way that regions of the two possible terminations are well-defined. This paper discusses the results obtained using controlled mixed terminated substrates in combination with SrRuO3 deposition. Probably through a difference in surface diffusion on the two possible terminations, enhanced growth is observed on one of these terminations. An example is a case, where the regions of mixed termination are defined by lines parallel to the vicinal steps. SrRuO3 appears to grow selectively on one termination in wires of tens of nanometers wide and a few nanometers tall. We have characterized these nano wires by in situ SPM and PES and also provide evidence for a possible growth mechanism by Monte Carlo solid-on-solid simulations. 1. G. Koster, K. Verbist, G. Rijnders, D.H.A. Blank, H. Rogalla and G. van Tendeloo, Physica C 353 (2001) 167-183 2. A. Ohtomo and H. Y. Hwang, Nature 427, 423 (2004) 3. G. Koster, B.L. Kropman, G. Rijnders, D.H.A. Blank and H. Rogalla, Appl. Phys. Lett. 73 (1998) 2920-2922 4. F. Sanchez, G. Herranz, J. Fontcuberta, M. Garcia-Cuenca, C. Ferrater, and M. Varela, Physical Review B 73, 1 (2006).
N11: Poster Session: Nanostructured Oxide Synthesis and Characterization & Nanoelectronics
Session Chairs
Nitin Chopra
Sanjay Mathur
Thursday AM, April 08, 2010
Salon Level (Marriott)
9:00 PM - N11.1
ZnO-Cu2O Core-shell Nanorod Arrays: Easy Fabrication, Characterization, and Fast Visible Photoresponse.
Hsin-Ying Lin 2 , Ruey-Chi Wang 1 , Chuan-Pu Liu 3 2
2 Institute of Nanotechnology and Microsystems Engineer, National Cheng Kung University, Tainan Taiwan, 1 Department of Chemical amd Materials Engineering, National University of Kaohsiung , Kaohsiung Taiwan, 3 Department of Materials Science and Engineering, National Cheng Kung University, Tainan Taiwan
Show AbstractZnO-Cu2O core-shell nanorod arrays were synthesized by a proposed two-stage solution process for the first time. Scanning electron microscopy and high-resolution transmission electron microscopy show the diameter and length of the nanorods are around 80 nm and 500 nm, respectively. The Cu2O nanoshells with thicknesses of around 10 nm are constructed of single-crystalline nanoparticles with a diameter of around 5 nm. Room-temperature photoluminescence measurements of the ZnO-Cu2O nanorods exhibit relatively sharp ultraviolet emissions at 378 nm as well as broad blue emissions at 418 nm. The green emission at 521 nm of ZnO nanorods were eliminated with the capping of Cu2O nanoshells. Photoresponse measurements of the ZnO-Cu2O nanorods show ultrafast visible sensitive photoresponse. The Cu2O nanoshells not only enhance the visible response, but also strongly improve the response time of ZnO nanorods. The nanocomposites are promising for visible photosensing and solar energy applications.
9:00 PM - N11.10
Optical Studies on VOx Nanorods on Si Substrate Fabricated Using Pulsed Laser Deposition Technique.
N. Rama 1 2 , M. Ramachandra Rao 1 2
1 Nano Functional Materials Technology Centre, Indian Institute of Technology, Madras, Chennai India, 2 Department of Physics, Indian Institute of Technology, Madras, Chennai India
Show AbstractVanadium oxide (VOx) is one of the most functional oxides of the transition metal oxide family because of its ability to exist in stable state both as monovalent and multivalent oxides. These oxides find potential usage in the field of thermochromism, electrochromism , catalysts, electrochemistry etc. Conventionally various methods have been used to grow nanostructures of these systems. These include sol-gel techniques, vapour phase transport, hydrothermal synthesis, thermal oxidation route etc. In this work, we report the Raman and photoluminescent (PL) studies of vanadium oxide nano and micro rods fabricated using pulsed laser technique (PLD) on Si substrate. This method though has been widely used for growing nanostructures of other oxides such as ZnO has not been used much in these vanadium oxide systems.VOX nanorods was grown using the PLD technique with V2O5 as the target. These rods were found to have monoclinic phases of VO2 and V3O7. Surface morphology of these rods reveal that there are predominantly rods and droplets present with the droplets being a collection of smaller rods. Room temperature Raman studies on these rods reveal that they exhibit all the group vibrations corresponding to VOX. Room temperature photoluminescence studies on this system show that there is a bright green and red emission when exposed to visible light with the rods being more intense than the droplets. These emissions can be attributed to oxygen deficiencies which occur during the growth of these rods. This visible photoluminescence finds potential applications in optical devices.Reference:1. P.A. Cox Transition Metal Oxides International Series of Monographs on chemistry:27; Oxford Science Publications Oxford (1992)
9:00 PM - N11.11
Ferromagnetism in Cu-doped TiO2 Nanorods.
Yun-Mo Sung 1 , Min-Kyu You 1
1 Materials Sci. & Eng., Korea University, Seoul Korea (the Republic of)
Show AbstractCu-doped colloidal TiO2 nanorods with different aspect ratio were synthesized via a hydrolysis method. Inductively coupled plasma (ICP) atomic emission spectroscopy analyses showed that the as-prepared TiO2 nanorods contained ~1.7-3.2 at% Cu. X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) analyses revealed that the doped-nanorods were in the highly crystalline anatase structure, and their crystal growth orientation was preferably [001]. The real doping of Ti lattices with Cu ions was evidenced by the analyses of surface compositions and chemical states of the nanorods using X-ray photoelectron spectroscopy (XPS). Through the magnetic investigation using vibration sample magnetometry (VSM), it is verified that the Ti1-xCuxO2 nanorods have apparent ferromagnetic ordering at room temperature (300 K). The origin of the ferromagnetic property was explained based on the increased concentration of oxygen vacancies by Cu doping, which was also identified using XPS analyses. The saturation magnetization showed strong dependency on the aspect ratio of nanorods as well as the Cu content in TiO2 nanorods.
9:00 PM - N11.12
Formation of Ultra-fine Eutectic Microstructure in Ternary System, YAG-Al2O3-ZrO2 by Crystallization From Amorphous Film.
Daigo Toyama 1 , Taro Makino 1 , Naofumi Uekawa 1 , Takashi Kojima 1 , Kazuyuki Kakegawa 1
1 , Graduate School of Engineering, Chiba University, Chiba Japan
Show Abstract Several eutectic ceramics have been attracting a great deal of attention because of many superior properties such as flexural strength and creep resistance. These room temperature properties are also preserved at high temperature. Eutectic ceramics are promising candidates for structural applications such as a turbine blades used at elevated temperatures. Those are generally produced by cooling a melt of the components (ordinary method). It consists of entangled structure having micro-meter-level crystals. If the size is smaller, like nano-meter class, and the structure is more complex, higher mechanical properties are expected. We have succeeded to prepare eutectic ceramics having ultra fine microstructure in binary systems such as GdAlO3-Al2O3, Y3Al5O12-Al2O3, etc. by crystallization from amorphous films. Ternary eutectic materials, in which additional components are added to the above mentioned binary systems, are expected to have higher performance. Several studies on such systems have been reported. In this study amorphous film of Y3Al5O12-Al2O3-ZrO2 ternary system having the eutectic composition was prepared by a rapid quenching method. It was heat-treated. Then ultra fine eutectic-like structure was obtained. By a minute observation of the materials both from melt (ordinary method) and from amorphous (this study), ZrO2 formed discrete phase, while Y3Al5O12 and Al2O3 entangled each other. The origin was considered to be a small volume of ZrO2 in the eutectic point. Increase in the volume of ZrO2 would result in entangled structure of all the phases. However large primary crystals always results in the off-eutectic composition by the ordinary method. Fortunately, our method does not form the primary crystals and forms homogeneously entangled structure even at off-eutectic composition. A mixture of 1:1:1 volume ratio of YAG-Al2O3-ZrO2 was prepared. Slow cooled material of the melt of this mixture had large primary crystals as expected. The melt of this mixture was rapid quenched, forming amorphous film. It was heat-treated, and then homogeneously entangled structure of all the phases was obtained. This is the first report for the preparation of such material. Higher mechanical performance is expected in this material.
9:00 PM - N11.13
Deposition of Photocatalytically-active TiO2 Coatings from Water-based Precursor Solutions by Ink-jet Printing and Dipcoating.
Petra Lommens 1 , Melis Arin 1 , Marcos Cuadrago Gil 1 , Ioannis Arabatzis 2 , Klaartje De Buysser 1 , Isabel Van Driessche 1
1 Department of Inorganic and Physical Chemistry, Ghent University, Ghent Belgium, 2 , NanoPhos SA, Lavrio Greece
Show AbstractTiO2 thin films can be used for self-cleaning coatings, solar cell applications, (photo-)catalysis and exhibit superhydrophilic behaviour. This versatility explains the tremendous amount of research papers published on this topic over the last 15 years. Large-scale production of TiO2 coatings requires the development of flexible, cheap, and environmentally friendly deposition techniques. Therefore, we develop water-based TiO2 precursor solutions based on sol-gel chemistry that are compatible with glass, ceramic and steel substrates. To apply these precursor inks to the substrate, we use both ink-jet printing and dipcoating, two techniques that are generally being used for producing coatings on industrial scale. The number of commercially available Ti-precursors is small and often Ti-alkoxides are used for the sol-gel deposition of TiO2 layers. Alkoxides are extremely sensitive to hydrolysis through contact with water and thus mainly organic solvent based synthesis routes have been used. Yet, by carefully controlling the typical hydrolysis and condensation reactions through addition of complexing agents, we are able to prepare stable, water-based precursor solutions that can be ink-jet printed or dipcoated on different types of substrates. The composition and rheology of these precursor solutions was optimized for processing. Heat treatment at 450°C or higher results in transparent, dense and photo-catalytically active TiO2 films of pure anatase or mixed anatase/rutile phase. In the future, we want to adapt the film morphology to increase the photo-catalytic activity of the layers. This research was carried out under the Interuniversity attraction poles programme IAP/VI-17 (INANOMAT) financed by the Belgian State, Federal science policy office and EFECTS, a project funded by the European Union, FP7-NMP-2007-SMALL-1 grant n°205854.
9:00 PM - N11.14
Synthesis of Vertically-aligned TiO2 Nanotube Arrays Aided by Ionic Liquids.
Jun Qu 1 , Huimin Luo 2 , Hanbing Xu 1 , Sheng Dai 3
1 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 2Nuclear Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Chemical Sciences Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractVertically aligned, highly ordered TiO2 nanotube arrays synthesized by anodization of pure titanium are of great interest for a variety of photovoltaic applications. The common electrolytes are fluoride-containing aqueous or organic solvent-based solutions. This study reports a new group of electrolytes aided by so-called ‘green-solvents’ ionic liquids. Initial success has been achieved for several imidazolium ionic liquids with an anion of tetrafluoroborate (BF4-). The inner and outer diameters of the TiO2 nanotubes grown in the new electrolytes are 30-40 and 40-55 nm, respectively, which are at the low end of the reported ranges in the literature. This is beneficial because smaller tube sizes usually lead to a larger surface area for a given volume, which is expected to increase the unit volume energy conversion efficiency. Another potential advantage of using ionic liquid-aided electrolytes is the possibility of inherent doping. For example, BF4- anion may incorporate boron atoms into the TiO2 nanotube matrix during the synthesis. B-doping has been reported to reduce the band gap and improve the photon absorption for TiO2 nanotubes. Effects of key synthesis factors, such as the molecular structure of cations and anions, water content, and voltage profile on the nanostructure and chemical composition of the nanotubes are being systematically explored.
9:00 PM - N11.15
New Nanostructured Zinc Oxide.
Marta Maria Natile 1 2 , Alfonso Zoleo 1 2 , Marina Brustolon 1 2 , Eugenio Tondello 1 2
1 Department of Chermical Sciences, University of Padova, Padova Italy, 2 , INSTM, Padova Italy
Show AbstractIn the last years ZnO, as a direct wide band gap semiconductor, has been receiving great attention. The renewed interest is inspired by the availability of high quality materials with new properties. Due to its wide spectrum of outstanding properties ZnO is one of the most important and versatile functional material. It is investigated for many technological applications ranging from optoelectronics, chemical and biological sensing, light-emitting materials, solar energy conversion to (photo)catalysis. In addition ZnO is less expensive, non toxic, bio-compatible and chemically stable towards air.It is well known that the key properties of ZnO depend not only on intrinsic properties such as the particular crystal structure and size, bulk composition and morphology of the oxide particles, but furthermore on their defect structure which can become particularly intriguing in the nanometer regime. In this contest the ability to control the microstructure of nanocrystalline ZnO represents a great challenge for the design of material with selected functional properties.In order to carefully explore the relation between the microstructural features and the observed properties of ZnO, in this contribution we report a comprehensive characterization of nanostructured ZnO using a combination of advanced techniques. A new, simple and reproducible wet chemical procedure was adopted for the synthesis of the nanostructured ZnO powder. The crystalline structure, morphology, surface (composition and chemical state), optical properties as well as the chemical nature of the native defects, which play a key role in defining catalytic and luminescence properties of ZnO were carefully investigated. ZnO with wurtzite structure was obtained. The average crystallite size was 10 nm. SEM images confirm the formation of spherical particles consisting of many small aggregated nano-entities. The X-Ray Photoelectron Spectroscopy reveals that the prepared ZnO is particularly rich in oxygen on the surface: oxygen exists in several chemical environments. Electron Paramagnetic Resonance spectra recorded in vacuum and air show interesting differences, ascribable to chemical reaction involving electron transfer from ZnO surface and chemisorbed oxygen species.
9:00 PM - N11.16
Ordered Three-dimensional Oxide Nano-networks from ABC Polymers.
Morgan Stefik 1 , Hiroaki Sai 1 , Suntao Wang 2 , Huolin Xin 3 , David Muller 3 , Sol Gruner 4 , Francis DiSalvo 5 , Ulrich Wiesner 1
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 Lab Atomic & Solid State Phys, Cornell University, Ithaca, New York, United States, 3 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 4 Physics, Cornell University, Ithaca, New York, United States, 5 Chemistry and Chemical Biology, Cornell University, Ithaca, New York, United States
Show AbstractMany key technologies under development such as photovoltaics, batteries, fuel cells, and supercapacitors could be greatly enhanced by structuring the active oxide components into an interwoven three-dimensional network on the nanometer length scale. Of the potential synthetic routes, evaporative self-assembly with macromolecular structure directing agents is perhaps the most inexpensive and easy due to the limited number of steps. Amphiphilic ABC triblock terpolymers were found to enable facile synthesis of such ordered networked oxide nanocomposites. The connectivity and symmetry of the resulting network structures were varied systematically by changing the polymer structure directing agent. Networked oxide nanocomposites were demonstrated for several different metal oxides. The robust oxide networks were able to survive removal of the polymer enabling access to free-standing mesoporous oxide networks.
9:00 PM - N11.17
High-pressure Field-assisted Rapid Sintering of Undoped Nanocrystalline Tetragonal Zirconia.
Filippo Maglia 1 , Monica Dapiaggi 2 , Ilenia Tredici 1 , Beatrice Maroni 1 , Umberto Anselmi-Tamburini 1
1 Physical Chemistry, University of Pavia, Pavia Italy, 2 Earth Science, University of Milan, Milan Italy
Show AbstractThe stabilization of high-temperature phases, as a general phenomenon in nano-sized materials, has attracted considerable attention and detailed reports on the so-called size-induced phase transitions exist with particular focus on oxides materials such as TiO2, ZrO2, Al2O3 and several perovskites. Zirconia primarily exists in three different polymorphs at ambient pressure: monoclinic (up to 1175 °C), tetragonal (1175-2370 °C), and cubic (2370-2680 °C). The monoclinic phase has no practical applications since its formation during cooling from the high-temperature tetragonal phase is associated with the volume expansion, which results in crumbling of the ceramic components. On the other hand, the high-temperature ZrO2 phases are suitable for various industrial applications such as solid electrolytes in solid oxide fuel cells and sensors, as a catalyst support, and as membranes and dispersed phase in composite materials. Hence, traditionally high-temperature ZrO2 phases have been stabilized at room temperature by doping trivalent cations in the ZrO2 lattice. The high-temperature tetragonal phase can also be stabilized at room temperature without doping provided ZrO2 is synthesized in its nanocrystalline form with a size smaller than a critical value of around 20–30 nm. Several explanations have been proposed for this occurrence of tetragonal zirconia including differences in surface energy between the polymorphs, the influence of lattice strain, and the influence of lattice defects and/or water vapor. Only recently it was demonstrated that nanocrystalline tetragonal zirconia is not just kinetically metastable but can be truly thermodynamically more stable than monoclinic zirconia in air below 1200°C as long as coarsening is precluded. The size-induced stabilization has been almost exclusively explored on nanopowders or, in some cases, in thin films. No attempt to retain such characteristics in bulk materials has ever been reported. On the other hand, the possibility to obtain bulk tetragonal, or cubic, zirconia with low or null dopant content would open the possibility to investigate the electrical properties of zirconia in conditions never explored before. The difficulty encountered in the preparation of bulk nanocrystalline materials, especially if very low level of porosity is needed, so far prevented the possibility to obtain dense ziconia samples with a grain size below the critical value for size-stabilization of the tetragonal phase. In this work we have applied the High-Pressure Field Assisted Rapid Sintering method (HP-FARS), allowing very rapid (5 min) sintering cycles at high pressures (up to 1 GPa), to prepare nearly fully dense nanocrystalline ZrO2 with minimal grain growth. Through this approach the feasibility of consolidation of dopant free bulk tetragonal zirconia with relative densities exceeding 95% has been demonstrated. The grain size of the sintered material was negligibly larger than that of the starting powder (~ 10nm).
9:00 PM - N11.18
Improved Nickel Oxide for Electrochromic Windows.
Dane Gillaspie 1 , Joel Pankow 1
1 , NREL, Golden, Colorado, United States
Show AbstractElectrochromic dynamic windows are a key technology in improving the energy efficiency of commercial and residential buildings. I will discuss some of our recent efforts to improve the properties of nickel oxide, which is often used as a counter-electrode in electrochromic devices. Pure nickel oxide is a poor performer, which has low dynamic range and limited cycling durability. Using reactive co-sputtering and metal alloy targets, we have grown and characterized films containing additives such as lithium and tungsten, which dramatically improve device performance.
9:00 PM - N11.19
The Path Towards Woven Thin-film Transistors.
Kunigunde Cherenack 1 , Ronald Grundbacher 1 , Christofer Hierold 1 , Gerhard Troester 1
1 Institute for Electronics,, ETH Zürich, Zurich Switzerland
Show AbstractElectronic textiles (or e-textiles) have a wide range of potential applications in wearable computing and large-area applications, including medical monitoring, assistance to the disabled, and distributed sensor networks. We aim to integrate thin-film electronics directly into clothing during the weaving process. First, thin-film devices are fabricated on plastic substrates. Individual devices are separated by cutting the substrate into strips which can then be woven into a textile. As a first building block, we used atomic layer deposition (ALD) to fabricate bottom-gate zinc-oxide thin-film transistors (TFTs) with a 25nm-thick Al2O3 gate dielectric, and a 15nm-thick ZnO semiconducting layer on 50μm-thick Kapton E substrates. These TFTs had average mobilities of 12cm2/Vs, threshold voltages around 1V and subthreshold slopes around 250mV/decade. Devices on strips need to survive high applied bending strains during weaving. A tensile bending radius of 5mm was applied to the TFTs, and it was found that ~80% of TFTs failed due to cracking of the Al2O3 device layer. We investigated patterning holes in the brittle oxide layers to prevent crack propagation though the channel. This reduced TFT failure to ~45% under the same applied bending conditions. In this paper, we will discuss failure mechanisms in our standard TFT structure when high tensile bending strains are applied and will present how the device structure was adjusted to decrease TFT failure.
9:00 PM - N11.20
Valence Electron Energy-loss Spectroscopy of SrTiO3 Films Grown on Silicon.
Dong Su 1 , Bo Yang 2 , Nan Jiang 2 , Monica Sawicki 3 , Christine Broadbridge 3 5 , Martin Couillard 4 , Fred Walker 5 , Yimei Zhu 1
1 Center for Functional Materials, Brookhaven National Laboratory, Upton, New York, United States, 2 Department of Physics, Arizona State University, Tempe, Arizona, United States, 3 Department of Physics, Southern Connecticut State University, New Haven, Connecticut, United States, 5 Department of Applied Physics, Yale University, New Haven, Connecticut, United States, 4 , Semiconductor Insights, Kanata, Ontario, Canada
Show AbstractFunctional oxides exhibit unparalleled variety of physical properties for electronic applications, such as high electron mobility, high spontaneous polarization and high superconducting transmission temperature. In order to utilize these properties, it is very important to combine these materials with the Si based technology. SrTiO3 (STO) thin films have been successfully grown on Si (100) single crystals without silicon oxide interfacial layers. The epitaxial STO layers can not only serve as templates for the growth of other functional oxides but also present the ferroelectric property under strain. However, there are still many unsettled issues in this crystalline oxide- semiconductor system, for example, the electronic property of thin STO layer under the compressive strain or with different interfacial structure. Electron energy loss spectroscopy (EELS), combining with transmission electron microscope (TEM), is a powerful method to study the local electronic property. In this work, we used valence EELS to study the 1 nm thick SrTiO3 film epitaxially grown on a Si(100) single crystal grown by molecular beam epitaxy (MBE). We found that two peaks at low loss region in the spectrum acquired in the ultrathin STO layer do not match the spectrum in the bulk STO. We performed calculations based on dielectric theory and found the shift of the main peaks in STO layer are respectively related with the geometry and band structure change of the thin layer. The contribution of retardation and quantum confinement effects are not pronounced in this case.
9:00 PM - N11.22
Antireflective Optical Properties of Colloidal Subwavelength Nanostructured Surfaces.
Bo-Tau Liu 1 , Sheng-Jie Tang 1
1 Department of Chemical and Materials Engineering, National Yunlin University of Science and Technology, Douliou Taiwan
Show AbstractColloidal subwavelength nanostructured surfaces were fabricated by the deposition of uniform silica nanoparticles on a glass substrate by means of electrostatic attraction between charged colloidal particles and charged polyelectrolyte multilayers. The effects of surface morphology via the variation of nanoparticles on the antireflective properties of the nanostructured surfaces were investigated by the analysis of their reflection spectra. The Maxwell’s equations were solved by a rigorous coupled-wave analysis (RCWA) to evaluate the experimental results. It was found that the simulation analysis revealed reflective properties similar to the experimental results. The nanostructured surfaces with particles of ~120 nm in diameter yielded the most suitable performance for antireflection with respect to the visible-light region. In addition, the nanostructured surfaces showed the good pencil hardness when the nanoparticles were bound by polyethoxydisiloxane.
9:00 PM - N11.23
Effect Co- and Sc- Doping on the Functional Properties of Nanocrystalline Powders and Thin Films of ZnO.
Marco Galvez 1 , Oscar Perales 2 , S. Singh 2 , M. Tomar 1
1 Physics, University Of Puerto Rico at Mayaguez, Mayaguez, Puerto Rico, United States, 2 Engineering Science and Materials, University of Puerto Rico at Mayaguez, Mayaguez, Puerto Rico, United States
Show AbstractThe possibility of achieving room temperature ferromagnetism in ZnO-based diluted magnetic semiconductors has attracted not only the interest on producing these materials but also created great controversy about the inherence of their magnetic response. Accordingly, a very systematic study of the effect of the composition and crystal size on the structural, optical and magnetic properties of this type of materials becomes indispensable. We have modified conventional sol-gel approaches to synthesize pure and doped ZnO powders and thin films by evaluating different types of agents to improve the viscosity in precursor acetate solutions in ethanol and hence, attachment and growth of the oxide films onto quartz substrates. Films thickness of around 100nm was produced by this way. TG-DTA analyses suggested annealing temperatures of above 400°C were required for effective development of the oxide phase. The average crystallite size, estimated from XRD analyses, varied from 19.5nm to 29nm when ZnO films were annealed for 1 hour at 450°C or 550°C, respectively. X-ray diffraction, Raman spectroscopy, UV-vis and photoluminescence measurements verified the formation of well crystallized powders and films exhibiting the wurtzite structure of the ZnO host. The magnetic response of powders and films, measured in a vibrating sample magnetometer (VSM), changed from diamagnetic to weakly, but noticeable, ferromagnetic depending on the Co- and Sc-contents (in the 0.0 at%-1.0 at% range) and annealing temperature. The dependence of functional properties of these doped systems as a function of composition and crystal size at the nanoscale will also be presented and discussed.
9:00 PM - N11.25
Novel Micro- and Nanoscale Structures by Sol-gel Processing.
Martin Jarvekulg 1 , Kristjan Saal 1 , Tanel Taette 1 , Valter Reedo 1 , Martin Timusk 1 , Madis Paalo 1 , Ants Lohmus 1
1 , University of Tartu, Institute of Physics, Tartu Estonia
Show AbstractSol-gel has emerged as an alternative to conventional methods of preparing oxide materials. The rise of nanotechnology stimulated new trends in sol-gel which holds considerable potential with its simplicity and possibility of giving the precursor different shapes before gelation- oxide material that is generally hard to process is synthesized directly in desired shape. This work reports our recent progress in the elaboration of novel original sol-gel materials. Aspects like micropatterns, -rolls and –tubes and functional composites are covered. A method for preparation of aminofunctional SiO2 films has been developed. The method allows varying the surface concentration of amino groups and wettability of the film. The films were successfully used as substrates in DNA microarray analyses and found being applicable in practical applications including mutation screening. Simple pulling methods for preparing metal oxide fibers with diameters 200nm-50μm have been developed. Also, sharp oxide tips can be obtained by pinching the pulled sol jets. We have shown that the tip radius of the transparent and electrically conductive SnO2 tip depends on fiber drawing speed, ambient humidity and viscosity of the precursor. Tip radiuses 15-25nm were achieved. The sharp structures were tested as probes in STM and tunneling current induced photon imaging, both showed very good lateral resolution. Similar high-viscosity sol is also suitable for micro molding with polymeric stamps; surface structures of ~1 micron lateral dimension could be thereby readily obtained. It is possible to make electrodes from CNT-doped high refractive index transparent metal oxides like TiO2 or SnO2. After baking at 340°C in air, dense oxide ceramics containing aligned nanotubes were obtained as fibers and films. Prepared materials were conductive (up to 500S/m). By that we have shown that CNT-doping can boost the electrical properties of the material without sacrificing transparency. Another composite material that we have elaborated is glass dispersed liquid crystal (GDLC), transparency of which can be controlled by electric field. Microdroplets of liquid crystal in modified SiO2 matrix are obtained by phase separation, prepared GDLC devices show superior performance compared to these reported in papers by other groups. Also, we have recently introduced a strategy for obtaining microscopic tubular oxide structures by film rolling. This non-template synthesis includes the steps of gelling the surface of a metal-alkoxide precursor, spontaneous cracking of obtained gel film, subsequent dissolving of the non-gelled layer of precursor and self-rolling of the gel film segments. It is important to point out that the gel film rolling is a general phenomenon. Formation of a gel film can be observed in all situations where a sol layer with a suitably low flowing rate is exposed to humidity. This method can potentially yield tubular structures of tunable size from all sol-gel materials.
9:00 PM - N11.26
Oxide-based Nanocrystal Heterostructures.
P. Davide Cozzoli 1 2
1 Scuola Superiore ISUFI- Distretto Tecnologico, Università di Lecce, Lecce Italy, 2 National Nanotechnology Laboratory (NNL), CNR-INFM, Lecce Italy
Show AbstractNanoscale transition-metal oxides represent exclusive encounter platforms on which diverse optoelectronic, magnetic, thermal, mechanical, electrochemical and catalytic properties can coexist with the potential for low-cost and environmentally safe technologies [1-2]. Among the various synthetic approaches, colloidal routes have opened access to a variety of finely size- and shape-tailored oxide nanocrystals by control of thermodynamically and kinetically driven growth processes in liquid media. Further challenges are now being imposed on nanochemistry research in the pursuit of novel advanced breeds of so-called hybrid nanocrystals (HNCs) [2-4]. These are multicomponent nanoheterostructures that incorporate various sections of distinct oxide and non-oxide materials into individually processable nano-objects, which can offer diversified and/or enhanced properties as well as multifunctional capabilities [2-4].Here, we review our recent progress in wet-chemical development and characterization of several types of elaborate non-core/shell oxide-based HNCs, which comprise sections of different semiconductor and magnetic materials interconnected together via direct inorganic junctions. Binary heterostructures based on FePt-iron oxide heterodimers [5], on size- and crystal-phase-controlled TiO2 nanorods decorated at selected locations with either iron oxide, Co, Ag, Pt, or FePt-iron oxide dimer-like domains [6-7], and on Co- or Ag-functionalized iron oxide tetrapods [8] will be discussed. Our results will illustrate how facet-dependent nanocrystal reactivity, lattice strain at the relevant junction regions, and surface-interface energy balance interplay at the nanoscale and dictate the final HNC topology. Useful criteria for the rational design of future prototypes of nanocrystal-based heterostructures with higher structural complexity and increased functionality will be suggested.[1] J. A. Rodríguez, Fernández-García, Synthesis Properties, and Applications of Oxide Nanomaterials, John Wiley & Sons, Inc., Hoboken, New Jersey, 2007, 717 pp.[2] Advanced Wet-Chemical Synthetic Approaches to Inorganic Nanostructures; P.D. Cozzoli Ed.; Transworld Research Network 2008, Kerala (India), pp. pp. 407-453 (ISBN: 978-81-7895-361-8)[3] P. D. Cozzoli et al. Chem. Soc. Rev. 2006, 35, 1195.[4] M. Casavola et al. Eur. J. Inorg. Chem. 2008, (6), 837.[5] A. Figuerola et al. J. Am. Chem. Soc. 2008, 130, 1477.[6] (a) R. Buonsanti et al. J. Am. Chem. Soc. 2006, 128, 16953. (b) R. Buonsanti et al. J. Am. Chem. Soc. 2008, 130, 11223. (c) R. Buonsanti et al. Phys. Chem. Chem. Phys. 2009, 11, 3680 (d) R. Buonsanti et al. Submitted.[7] M. Casavola et al. Nano Lett. 2007, 7, 1386.[8] (a) P. D. Cozzoli et al. Nano Lett. 2006, 6, 1966. (b) (b) Petkov, V. et al. J. Am. Chem. Soc. 2009, 131, 14264 (c) M. Casavola et al. Nano Lett. 2009, 9, 366 (d) M. Casavola et al. in preparation
9:00 PM - N11.27
Zinc(II) Oxide / Poly(methyl methacrylate) Nanocomposites With UV-absorbing and Thermally Stabilizing Properties.
Zorica Crnjak Orel 1 , Alojz Anzlovar 1 , Majda Zigon 1 , Yuri Strzhemechny 2
1 , National Institute of Chemistry, Ljubljana Slovenia, 2 , Texas Christian University, Fort Worth, TX 76129, Texas, United States
Show AbstractThe organic-inorganic composites are known to posses different or enhanced properties as compared to pure polymers, such as optical characteristics, thermal stability, chemical resistance, flame-retardancy, etc. The latter is the consequence of the very small size of included solids having large specific surface area, which promotes interfacial reactions between them and the organic matrix.In this contribution we report on the properties of homogeneous zinc(II) oxide/poly(methyl methacrylate) (ZnO/PMMA) (nano)composites with potential applications as UV and thermally stabilized PMMA. Nano- and submicrometer ZnO particles with an organophillic surface layer were synthesized in various glycols and were incorporated in the PMMA matrix by the chain polymerization of MMA in bulk. Using this method we prepared homogeneous ZnO/PMMA nanocomposites without additional ZnO surface functionalization or any other additives. PMMA with very low concentration of nano ZnO (0.1 wt. %) absorbs above 98% of UV light. Nano ZnO substantially enhances the thermal stability of nanocomposites for over 20 °C at ZnO concentrations of 1 wt. % and above. This was ascribed to the changes in termination mechanism of MMA polymerization resulting in reduced concentration of vinylidene chain ends as shown by NMR and TGA analysis. The increase in molecular weight with increasing concentration of nano ZnO complements the NMR and TGA results. Nano ZnO also increases the MMA rate of polymerization and reduces the activation energy. Submicrometer ZnO exhibits lower UV absorption, thermal stabilization and no influence on the reaction kinetics. Room temperature photoluminescence spectra of the as-grown and PMMA-embedded ZnO nanoparticles exhibit a bandgap emission at 3.3 eV, a shallow defect emission at ~ 3.1 eV and a broad defect band at ~ 2.4 eV. Relative intensity of the defect vs. bandgap luminescence is strongly connected with the way (experimental parameters) of ZnO preparation as well as the average particle size. However, PMMA-embedded particles produce a much stronger bandgap emission, whereas the ratio of the 3.1 eV to 2.4 eV remains approximately constant. This is an indication of surface-mediated phenomena in the nanoparticles surrounded by a polymer matrix.
9:00 PM - N11.28
Plasmonic Effects on Optical Properties of ZnO/Ag Thin Films.
Minji Gwon 1 , Dong-Wook Kim 1 2 , Ki-Ju Yee 3
1 Department of Physics, Ewha Womans University, Seoul Korea (the Republic of), 2 Department of Chemistry and Nano Science, Ewha Womans University, Seoul Korea (the Republic of), 3 Department of Physics, Chungnam National University, Daejeon Korea (the Republic of)
Show AbstractZnO and Ag thin films were grown on silicon and glass substrates by RF-sputtering technique. The presence of the Ag layer significantly affected the photoluminescence (PL) spectra of the ZnO films: the bandgap emission, at around 400 nm, was enhanced and the defect-related emission, at around 600 nm, was suppressed. The PL spectra were also largely dependent on the Ag underlayer morphology. Optical reflectivity studies revealed that surface-plasmon-mediated absorption did occur at the ZnO/Ag interface. All the experimental results suggested that coupling between the surface plasmon polaritons at the ZnO/Ag interface and excitions in ZnO should play important roles in determining the optical properties of the ZnO/Ag thin films. Since ZnO/Ag thin films have been used as a vital part of photovoltaic systems through the back side reflector, our study can propose a new way of efficient light trapping in thin-film solar cells.
9:00 PM - N11.29
Microstructure of Ferromagnetic Co-doped ZnO Thin Films.
Suman-Lata Sahonta 1 , Yinglin Liu 1 , Judith MacManus-Driscoll 1
1 Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom
Show AbstractFor the past decade many observations of room temperature ferromagnetism (FM) have been reported in ZnO thin films with magnetic dopants such as Co, Mn and Fe, adapting an already multifunctional material for potential use in spintronics. However the drive to generate FM reproducibly and controllably in ZnO has been hindered by the large variation in experimental observations in the literature, and intense debate over the origins of ferromagnetism in doped ZnO. Nevertheless it has been accepted by many groups that substitutional Co++ ions interacting with defects such as zinc interstitials (Zni) or oxygen vacancies (VO) are responsible for the FM. Moreover it is well-known that the saturation magnetization (MS) depends heavily on the growth method, with the greatest MS generally seen in films grown by pulsed laser deposition (PLD) and chemical vapour deposition (CVD). These observations suggest that a relationship between the film microstructure and the intrinsic defects is vital for FM in ZnO. In this work transmission electron microscopy (TEM) and related techniques are used to investigate 500 nm-thick FM (MS up to 0.6 µB/transition metal ion) and non-FM Co- and Mn-doped ZnO films grown by CVD and PLD. FM films form an open nanocolumnar structure with column diameters of approximately 100 nm. Columns are hexagonal in cross-section with {11-20} sidewalls and (0001) top facets, often tapering to {10-11} facets in the last 100 nm of growth. Substrate interfacial misfit dislocations were present with a spacing consistent with complete relaxation of the ZnO film. Small voids were present in between adjacent columns, however those columnar grains which had coalesced showed no evidence of grain boundary (GB) dislocations at the point of coalescence, indicating low crystalline column tilt about the c-axis. No other extended defects were observed within the nanocolumns. In contrast, non-FM films exhibited dense morphologies with large, void-free grains and numerous line defects. We propose that FM originates in columnar films due to the migration of native defects to GBs and surfaces of the film. We have shown previously by annealing studies that increasing the concentration of Zni increases MS in Co-doped ZnO films [1]. Zni cause local lattice compression so tend to migrate to regions of relaxation in the film such as GBs and column surfaces. Given that Co++ is substitutional on the Zn sites in the film, only those Co++ ions substituted close to the GBs and interfacial regions may interact with the Zni which have segregated there, resulting in the formation of highly localized FM complexes.[1] J. L. MacManus-Driscoll, N. Khare, Y. Liu and M. E. Vickers, Adv. Mater. 2007, 19, 2925–2929
9:00 PM - N11.3
Direct Chemical Imaging of Complex Structured Nanoparticles Using High-energy Electron Spectroscopic Imaging (ESI) Technique.
Young-Min Kim 1 2 , Jin-Gyu Kim 1 , Jung-tak Jang 3 , Jinwoo Cheon 3 , Youn-Joong Kim 1 , Jeong Yong Lee 2
1 Division of Electron Microscopic Research, Korea Basic Science Institute, Daejeon Korea (the Republic of), 2 Department of Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of), 3 Department of Chemistry, Yonsei University, Seoul Korea (the Republic of)
Show AbstractRecently, magnetism-engineered nanoparticles are of tremendous interest because their magnetic properties are highly dependent on morphological and chemical parameters such as size, shape, and composition. For example, geometrically-chemically derived core-shell nanoparticles such as Fe3O4@CoFe2O4 and Fe3O4@MnFe2O4 show promising magnetic properties for various applications. However, to precisely develop these types of engineered nanostructures with optimized properties in the future, we should overcome a significant hurdle that is the lack of a means for determining structure and composition at their limited nanoscale.In most cases under the investigations using medium-voltage (200-300 keV) TEMs, the ESI imaging for the complex structured nanoparticles are likely to fail because of strong interfered contrast from a support film as well as relatively low edge signals due to their small sizes, which act as practical disturbances in imaging the chemical distribution. These adverse effects to the ESI imaging can be minimized if using high voltage electron microscope (HVEM, 1250 keV)). This high-energy ESI technique produces better collection efficiency and less chromatic aberration effect, which are mainly attributed to relatively small ratio of chromatic aberration to accelerating voltage and more forward-scattered inelastic signals, compared with low voltage TEMs. Indeed, when applying these promising HVEM properties to the ESI experiment, we can clearly obtain the chemical distribution of Fe and Mn elements in the Fe3O4@MnFe2O4 core-shell structure. Our results demonstrate that the high-energy ESI technique yields more reliable and direct chemical information on the complex structured nanoparticles, which is a very powerful approach for the understanding of chemical structure in these materials.*This work was supported by KBSI grant (T29607).
9:00 PM - N11.30
Growth of Hematite, Maghemite and Magnetite Nanostructures by Supercritical Fluid and Aerosol-assisted Chemical Vapor Deposition Methods.
Arunkumar Panneerselvam 1 2 , Olga Kazakova 3 , Martyn Pemble 4 , Justin Holmes 1 2
1 Materials and Supercritical Fluids Group, Department of Chemistry, University College Cork and Tyndall National Institute, Lee Maltings, Cork Ireland, 2 Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2 Ireland, 3 , National Physical Laboratory, Teddington, TW11 OLW United Kingdom, 4 Advanced Mateirals and Surfaces Group, Tyndall National Institute, Lee Maltings, Cork, Ireland
Show AbstractMagnetic nanostructures are of great technological interest in areas like data storage, clinical medicine, catalysis and nanoelectronics. Iron(II)acetylacetonate and iron(III)acetylacetonate were used as precursors for the growth of Fe2O3, γ-Fe2O3 and Fe3O4 nanostructures by supercritical fluid (SCF) and aerosol-assisted chemical vapour deposition (AACVD) methods. Hematite and maghemite nanostructures have been obtained by SCF of iron(III)acetylacetonate, by altering the precursor injection method at the same growth temperature. AACVD of the same precursor deposited only hematite films on silicon substrates. SCF of iron(II)acetylacetonate produced magnetite; whereas AACVD of the same precursor deposited hematite films. The parameters involved in the growth of these materials like temperature, flow rate, pressure and their characterisation by X-ray diffraction, scanning electron microscopy or transmission electron microscopy and SQUID magnetometer techniques will be discussed.
9:00 PM - N11.31
Effect of Interfacial Strain on Ionic Conductivity in Ceria Based Oxide Heterostructures.
Stuart Cook 1 , James Perkins 1 , Sarah Fearn 1 , David McComb 1 , Stephen Skinner 1 , Christopher Rouleau 2 , Hans Christen 2 , John Kilner 1
1 Department of Materials, Imperial College London, London United Kingdom, 2 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractThe investigation of ionic conductivity in thin multilayer epitaxial heterostructures has received much recent interest due to reports of significant enhancements in lateral conductivity such as that observed by Garcia-Barriocanal et al [1]. While practical limitations would prevent the direct implementation of these materials in devices such as solid oxide fuel cells, study of their performance will further our understanding of the interfacial structure and ion conducting behaviour.Here, a series of samples was grown by pulsed laser deposition to allow the systematic investigation of the effect of strain at their interfaces. The samples comprised alternating layers of oxide ion conducting rare earth doped ceria and nominally insulating pure ceria grown on single crystal magnesia substrates. By varying the dopant radii the lattice mismatch between layers could be controlled, thereby applying a range of tensile and compressive strains to the conducting layer. Using Nd, Sm and Y a range of lattice mismatch from -0.75% to +0.12% was obtained. The sample set grown with each dopant comprised the following structures: 1 single doped ceria layer and 1, 10 and 100 repeats of alternating doped and undoped ceria of equal thickness. The total film thickness was kept constant at 1µm, thus varying interfacial density and generating layer thicknesses ranging from 1µm to 5nm.The conductivity behaviour of these samples was measured using impedance spectroscopy allowing correlation of sample conductivity to both interface density and strain. Samples with yttrium dopant, and therefore dilatatively strained doped layers, showed the greatest conductivity enhancement.1.Garcia-Barriocanal, J., A. Rivera-Calzada, M. Varela, Z. Sefrioui, E. Iborra, C. Leon, S.J. Pennycook, and J. Santamaria, Colossal Ionic Conductivity at Interfaces of Epitaxial ZrO2:Y2O3/SrTiO3 Heterostructures. Science, 2008. 321(5889): p. 676-680.
9:00 PM - N11.32
Microwave Chemistry: A Step Toward Predictable Nanoparticles Synthesis.
Bilecka Idalia 1 , Niederberger Markus 1
1 Department of materials, ETH Zuerich, Zurich Switzerland
Show AbstractThe nonaqueous sol-gel process is unique in its ability to be controlled at a molecular level by reactions involving organic chemistry [1]. Coupled to microwave heating, it provides a straightforward route to highly crystalline metal oxide and phosphate nanoparticles in good yields within just a few minutes [2-3]. At the same time, it offers great flexibility with regard to the processing of the nanoparticles into dispersions or thin films on different substrates such as glass slide or other nanoparticles [4].Another important aspect of this technique is that the subtle control of the irradiation time, the temperature and the out-put power makes microwave-assisted nonaqueous sol-gel chemistry a perfect model system for the study of nanoparticle formation. Indeed, in this system the precipitation and growth can be described by combining kinetic analysis of the precursor consumption and increase of crystallite size [5]. These observations, allowing the correlation of the organic and inorganic reactions, offer the opportunity of better understanding nanoparticles formation at a molecular level and open up new possibilities such as reaction monitoring or development of rational synthesis strategies.After an overview of the different possibilities offered by the technique, the results of the kinetic approach leading to metal oxides will be discussed in parallel with an attempt to reveal the mechanism of metal oxide formation. We will show that the crystal size and composition are dependent on both the organic reaction and the crystal growth and how this knowledge can be applied to the development of new synthesis strategies and especially to the control of the final stoichiometry of doped and mixed oxides.[1] Niederberger, M.; Garnweitner, G. Chem. Eur. J. 2006, 12, 7282-7302.[2] Bilecka, I.; Djerdj, I.; Niederberger, M. Chem. Commun. 2008, 886-888.[3] Bilecka, I.; Hintennach, A.; Djerdj, I.; Novák, P,; Niederberger, M. J. Mater. Chem. 2009, 18, 5125.[4] Bilecka, I.; Kubli, M.; Amstad, E.; Niederberger, M. J. Sol-Gel Sci. Technol., submitted.[5] Bilecka, I.; Elser, P.; Niederberger, M. ACS Nano 2009, 3, 467.
9:00 PM - N11.33
Synthesis of p-type ZnO Nanorod Arrays Using an Aqueous Li-dopant.
JunSeok Lee 1 , JongHyun Lee 1 , HyeWon Nam 1 , SangHyo Lee 1 , SeungNam Cha 2 , JinPyo Hong 1
1 Physics, HanYang University, Seoul Korea (the Republic of), 2 , Samsung Advanced Institute of Technology, Gyeonggi-do Korea (the Republic of)
Show AbstractWe report, for the first time, the synthesis of the highly vertical oriented p-type ZnO nanorods using an aqueous solution, where lithium nitrate has been used dopant source. Lithium-doped ZnO nanorods have their growth axis along the (001) direction and grown on a conventional p-type silicon(100) wafer. p-type doping was confirmed by photoluminescence measurements at various temperatures, electron energy loss spectroscopy and I-V measurements using a e-beam lithography process. Comparisons of low-temperature micro PL of unintentionally doped ZnO (n-type), as grown lithium-doped ZnO, and annealed lithium-doped ZnO show clear differences related to intragap donor and acceptor states. The electrical properties of p-type ZnO NWs are stable and reproducible with a hole concentration of (1-2) × 1018 cm-3 and a field-effect mobility of 10-17 cm2 V-2 s-1.
9:00 PM - N11.34
Observation of Crystal Structures of 1D ZnO Nanorods With Electron Microscopy.
Lee SangHyo 1 , JunSeok Lee 1 , JongHyun Lee 1 , HyeWon Nam 1 , JinPyo Hong 1
1 Physics, Novel Functional Materails and Devices, Room # 436, Dep. Physics, Hanyang Univ., Seoul Korea (the Republic of)
Show AbstractStructural properties of the single crystal 1D ZnO nanorods were investigated. The atomic bonding structures of ZnO nanorods were determined by the crystal structures of the ZnO seed layer, which is formed by the inductively coupled RF sputtering method with dopant controls. ZnO nanorods (2um) were synthesized by hydro-thermal method with Zinc Nitrate and hexamethylenetetramine in convection oven. The [002] crystal orientation of the seed layers was exhibited by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Single crystalline formation and lattice constant controls of the ZnO nanorods were observed by scanning transmission electron microscopy (STEM) and selective area electron diffraction (SAED).
9:00 PM - N11.36
Magnetic Properties of Transparent MgO Thin Films.
Carlos Martinez-Boubeta 1 2 , Juan Beltran 3 , Lluis Balcells 1 , Zorica Konstantinovic 1 , Sergio Valencia 4 , Detlef Schmitz 4 , Jordi Arbiol 1 5 , Sonia Estrade 2 , Jerome Cornil 3 , Benjamin Martinez 1
1 , ICMAB-CSIC, Bellaterra-Barcelona Spain, 2 IN2UB and Departament d'Electrònica, Universitat de Barcelona, Barcelona Spain, 3 Laboratory for Chemistry of Novel Materials, Université de Mons-Hainaut, Mons Belgium, 4 Helmholtz-Zentrum Berlin für Materialien und Energie, BESSY, Berlin Germany, 5 , Institució Català de Recerca i Estudis Avançats, Barcelona Spain
Show AbstractUsually there are two approaches to explain magnetism in solids, one based on local moments and the other on electrons delocalized in narrow energy bands. However in the last few years reports of magnetic behaviour have emerged which do not fit in neither of these. There are claims of ferromagnetism at high temperature for materials that should not be ferromagnetic at all. Even the existence of small amounts of parasitic ferromagnetic phases has been invoked in many cases to explain the observed effects, it seems to be clear by now that high-temperature ferromagnetism can exist in materials with no magnetic atoms. Unconventional ferromagnetism has been reported in several materials (i.e. carbon, HfO2, MgO, dilute ferromagnetic oxides and nitrides,…) and even the actual mechanism to explain it remains unveiled it has been suggested that can be related to a defect mechanism. In this work we describe, by using first-principles calculations, the mechanism for the formation of a local moment in MgO films in presence of Mg vacancies through the creation of holes on acceptor levels near the O 2p-like valence band. We provide direct experimental evidence of the existence of intrinsic RT d0 FM in transparent MgO thin films by using bulk magnetization measurements. By means of high resolution transmission electron microscopy (HRTEM) we demonstrate the existence of Mg cation vacancies in our samples. X-ray magnetic circular dichroism (XMCD) measurements allow excluding magnetic impurities as the origin of the magnetic signal and confirm that magnetic moments are generated by the spin polarization of the p orbitals of oxygen atoms surrounding Mg vacancies.
9:00 PM - N11.37
Strain Effects in Fe-substituted SrTiO3 Epitaxial Films.
Jose Santiso 1 , Miri Marcovich 2 , Jaume Roqueta 1 , Avner Rothschild 2
1 PLD & Nanonionics Lab, CIN2 Research Centre for Nanosicience and Nanotechnology (CSIC/ICN), Bellaterra Spain, 2 Department of Materials Engineering, Technion - Israel Institute of Technology , 32000 Haifa, Israel
Show AbstractThe functional properties of SrTi1-xFexO3 (STF) perovskite oxides can be tailored by changing the Fe/Ti ratio. For instance, the band gap energy varies continuously from 3.2 (SrTiO3) to 1.9 eV (SrFeO3). This remarkable tunability opens up many opportunities for applications in different electronic, optoelectronic, and electrochemical devices. Epitaxial heterostructures provide a rich platform for exploring the physical properties in the STF system and in particular the effect of interfaces and strain on the material’s transport properties. The ability to grow high quality epitaxial films and to control their microstructure at the atomic scale is an enabling key towards device applications.In this work we investigate the growth mechanism of STF thin films deposited by Pulsed Laser Deposition (PLD). STF film of different Fe content from 1% all the way up to 100% were deposited onto single crystal STO(001) substrates. Prior to film growth the substrates where treated in BHF and annealed at 900°C to define atomically smooth TiO2-terminated terraces. The film growth mode was monitored in-situ during deposition using Reflection High Energy Electron Diffraction (RHEED). The crystal structure of the films was analyzed by High Resolution X-Ray Diffraction (HRXRD) through rocking curves and reciprocal space mapping (RSM). The microstructure of the films was observed by High Resolution Transmission Electron Microscopy (HRTEM) and their surface morphology by Atomic Force Microscopy (AFM). Special attention was paid to the determination of the film growth mechanism and its correlation with the strain relaxation as a function of film thickness. RHEED patterns and HRXRD analysis of the films show a high quality cube-on-cube epitaxial arrangement. A very flat surface morphology with rms roughness of about 2 nm was observed for all the films with thickness below 200 nm as analyzed by AFM. Variation from 2D to 3D growth mechanism was observed in the films depending on their Fe/Ti ratio. Reciprocal space maps of the (303) reflection revealed a progressive strain release with increasing thickness from fully strained thin films to the coexistence between strained and partially strain-released domains for thicker films. The critical thickness varied with Fe/Ti content.
9:00 PM - N11.38
Orientation Control of Pulsed Laser Deposited Fluorite-Perovskite Epitaxial Heterostructures.
Andrea Cavallaro 1 , Alexandra Apostolidis 1 , Jaume Roqueta 1 , Belen Ballesteros 1 , Srinivasan Rajagopalan 2 , David McComb 3 , Hamish Fraser 2 , John Kilner 3 , Jose Santiso 1
1 PLD & Nanoionics Lab, CIN2 Research Centre for Nanoscience and Nanotechnology (CSIC/ICN), Bellaterra Spain, 2 Dept of Materials Science and Engineering, The Ohio State University, Columbus, Ohio, United States, 3 Dept of Materials, Imperial College London , London United Kingdom
Show AbstractIn the last years there has been an increasing interest in modifying the functional properties of oxide materials by inducing a non-equilibrium interface structure between different materials in thin film heterostructures. In this sense the epitaxial growth of dissimilar fluorite and perovskite structures is among the most challenging combinations because of the large mismatch between both equilibrium structures, i.e.: of about 7% for (001)SrTiO3//(001)YSZ, and [100]SrTiO3//[110]YSZ. Besides, for complex oxides we have to add the difficulty that surface termination can be of different chemical composition, i.e.: SrO- or TiO2-termination for equivalent (001)SrTiO3 planes. In this work we have obtained YSZ and SrTiO3 heterostructures by PLD, onto (001)-, (110)-oriented STO, and (110)NdGaO3 substrates. We have studied the film growth and surface crystal structure characteristics by means of in-situ Reflection High Energy Electron Diffraction (RHEED), and correlated to the final surface morphologies obtained by AFM. We have analysed the crystal structure by X-Ray Diffraction (XRD) and film microstrucutre by . Some of the substrates were submitted to different thermal or chemical treatments in order to study the surface termination influence in the film growth. YSZ material grows forming islands with a discrete set of domain orientations on as-received mixed SrO,TiO2-terminated (001)SrTiO3 substrates, whereas on (110)SrTiO3 shows a unique epitaxial orientation. Previous treatment of (001)SrTiO3 substrates to define a single termination surface shows a progressive tendency towards the epitaxial growth of (001)YSZ//(001)SrTiO3. The results obtained in this work are compared also to other materials with fluorite structure to search for some common trends.
9:00 PM - N11.4
Nanostructured Arrays of Semiconducting Octahedral Molecular Sieves by Pulsed Laser Deposition.
Anais Espinal 1 , Lichun Zhang 1 4 , Chun-Hu Chen 2 , Aimee Morey 2 , Yuefeng Nie 3 , Laura Espinal 5 , Barrett Wells 3 , Raymond Joesten 2 , Mark Aindow 1 4 , Steven Suib 1 2 4
1 Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States, 4 Chemical, Materials & Biomolecular Engineering, University of Connecticut, Storrs, Connecticut, United States, 2 Department of Chemistry, University of Connecticut, Storrs, Connecticut, United States, 3 Department of Physics, University of Connecticut, Storrs, Connecticut, United States, 5 Materials Science and Engineering Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractCryptomelane-type manganese oxide (OMS-2) has been widely used to explore the semiconducting and catalytic properties of molecular sieves with mixed-valent frameworks. The selective synthesis of patterned thin films of OMS-2 with hierarchical nanostructures and oriented crystal domains holds great potential for controlling physical and chemical properties in multifunctional device applications. However, preservation of the mixed-valence, porosity, and crystalline phase of OMS-2 during the synthesis of oriented films remains a challenge. Here, we report that pulsed laser ablation of OMS-2 in an oxygen-rich medium produces a three-dimensional (3D) nanostructured array of parallel and inclined OMS-2 fibers on bare substrates of (001) single crystal strontium titanate (STO). The parallel fibers interact strongly with the substrate and grow epitaxially along <110>STO with lattice misfits of less than 4%, whereas the inclined fibers are oriented with (301) parallel to the substrate surface giving much larger misfits. Morphology, crystal structure, and elemental composition of OMS-2 nanostructured arrays on (001)STO were examined with SEM, XRD, EDXS, EELS and FIB-TEM. The spontaneous orientation of the crystalline OMS-2 domains over the STO surface opens up a new avenue in lattice-engineered synthesis of multilayer materials.
9:00 PM - N11.40
Reduction of the Thermal Budget on the Pulsed Laser Deposition of Perovskite-type Dielectric Thin Films on Si Substrates.
Joe Sakai 1 , Kesava Yellareddy 1 , Jie Qiu 1 , Guozhen Liu 1 , Jerome Wolfman 1
1 Laboratoire LEMA, Universite Francois Rabelais - Tours, Tours France
Show AbstractDeposition of perovskite-type dielectric oxide thin films on Pt-coated Si (Pt-Si) substrates is strongly demanded in order to produce high-quality capacitors for the use of tunable filters etc. within the scheme of present silicon-based industrial process lines. In this combination of dielectric, bottom electrode, and substrate materials, care should be taken to avoid atomic diffusion between each layer, and to avoid the formation of hillocks on the Pt surface. Lowering the deposition temperature, which is effective to suppress these undesirable phenomena, would, on the other hand, cause less crystallized films, and thus make the device properties worse. It is quite important in dielectrics / Pt-Si systems to develop the deposition methods to realize high crystal qualities of dielectric layers with low substrate temperature, i.e., suppressing the thermal budget.In the present study, we have tried several methods as supporting techniques to the conventional pulsed laser deposition (PLD), in order to suppress the thermal budget for the deposition of Ba1-xSrxTiO3 (BST) - based dielectric materials on Pt- or IrO2-Si substrates. The methods are ion beam assisted deposition (IBAD), ozone gas introduction, and/or excimer laser annealing (ELA). In an IBAD process, the substrate was irradiated with accelerated oxygen ions during PLD. A series of samples were deposited at a given temperature and in constant oxygen background pressure without IBAD or with IBAD as a function of the ion acceleration voltage. Dielectric properties of the IBAD films and of films deposited with oxygen molecules backfilled chamber were compared with a parallel plates capacitor geometry. As a result, it was found that an IBAD process enhances the capacitance value and suppresses the loss, leading to the possibility of depositing BST films with good dielectric properties at lower temperature than in a conventional PLD.
9:00 PM - N11.41
Direct Measurements of Surface Diffusion and Surface Morphology During Pulsed Laser Deposition of Ternary Oxide Heterointerfaces.
John Ferguson 1 4 , Darren Dale 3 , Yongsam Kim 2 , Arthur Woll 3 , Joel Brock 2 4
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 4 Cornell Center for Materials Research, Cornell University, Ithaca, New York, United States, 3 Cornell High Energy Synchrotron Source, Cornell University, Ithaca, New York, United States, 2 School of Applied and Engineering Physics, Cornell University, Ithaca, New York, United States
Show AbstractComplex oxide heterointerfaces are known to exhibit a large range of electronic and magnetic properties. Here, we present kinetic measurements on three materials systems of current interest to the oxide community: LaAlO3/SrTiO3 <001>, EuTiO3/SrTiO3 <001> and SrTiO3/SrTiO3 <001>. All films were grown using pulsed laser deposition (PLD) and characterized with in-situ simultaneous time-resolved x-ray specular reflectivity and surface diffuse scattering at the Cornell High Energy Synchrotron Source. These techniques allow us to study the in-plane island morphology and measure both inter- and intra- layer diffusivity with 100 ms time resolution. Our analysis provides an in-depth understanding of the PLD process and interface formation which is necessary for the reproducible growth of atomically abrupt interfaces. For EuTiO3/SrTiO3, a non-polar and nearly strain-free heterointerface, island density was found to increase relative to SrTiO3 homoepitaxy at the identical growth rate. The island density for LaAlO3/SrTiO3 a polar and strained heterointerface, increased further still. The technique and analysis provided here can be easily extended to other thin film deposition techniques and materials systems.
9:00 PM - N11.42
Nanostructured Metal Oxides and Their Heterojunctions.
John Bass 1 , Ho-Cheol Kim 1 , QIng Song 1 , Xin Ai 1 , Campbell Scott 1 , Robert Miller 1
1 , IBM Almaden Research Center, San Jose, California, United States
Show AbstractNanostructuring the heterojunctions between n-type oxides and p-type absorber materials in photovoltaic devices has the potential to completely redefine the relevant landscape of materials while markedly improving efficiency. Nanostructures projecting into the active layer can reduce the lateral diffusion paths while maintaining an adequate path length for light absorption. This allows the use of absorber materials with inherently lower diffusion lengths and/or the use of lower quality (i.e. cheaper and more accessible) materials and deposition processes, which tend to suffer from higher recombination rates. Here we look at inorganic nanostructured heterojunctions based on titania and zinc oxides generated using several approaches including self-assembled nanotemplates and nanoimprint lithography. The fabrication of these materials is tuned to match the properties of solution deposited absorber materials based on casted semiconducting nanoparticles. Nanostructured film geometries are evaluated in terms of device performance, gaining insight into how such structures affect carrier mobility, recombination, and trapping.
9:00 PM - N11.44
ZnO Films by Electrostatic Charging of Flame Spray Pyrolysis Prepared ZnO Nanoparticles.
Jae Seok Lee 1 , Purushottam Kumar 1 , Aniruddh Khanna 1 , Sushant Gupta 1 , Madhav Ranade 2 , Rajiv Singh 1
1 Materials Science & Engineering, University of Florida, Gainesville, Florida, United States, 2 Particle Engineering Research Center, Univsrsity of Florida, Gainesville, Florida, United States
Show AbstractSeveral applications require faster deposition of high quality ZnO film on low temperature substrates. In this work we have coupled, flame spray pyrolysis (FSP) which has the ability to produce high quality ZnO nanoparticles, with electrostatic particle charging for film deposition on an electrode substrate. ZnO nanoparticles were prepared by FSP using nitrate precursor. An electrostatic precipitator, which is known to have high collection efficiency, was used to produce a corona charge for charging of the particles. The electrostatic forces thus generated led to deposition of ZnO nanoparticles onto a grounded electrode. The crystalline structure and surface morphology of ZnO nanoparticle films were investigated as a function of experimental parameters such as substrate temperature, deposition time, and liquid precursor overall concentration. The technique showed the potential for deposition of thick layer of uniform ZnO film on low temperature substrates.
9:00 PM - N11.45
Interaction Between Homophase and Heterophase Domain Structures in Quasi-2D VO2 Nanoplatelets.
Alexander Tselev 1 , Evgheni Strelcov 2 , Vincent Meunier 1 , William Shelton Jr 1 , Keith Jones 3 , Roger Proksch 3 , Andrei Kolmakov 2 , Sergei Kalinin 1
1 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 , Southern Illinois University, Carbondale, Illinois, United States, 3 , Asylum Research, Santa Barbara, California, United States
Show AbstractWe explore interplay between ferroelastic and metal-insulator domain formation in quasi-2D VO2 nanoplatelets using variable temperature scanning microwave microscopy (SMM) and polarized-light optical microscopy, and report an unusual mesoscopic metal-insulator transition at ferroelastic domain walls. VO2 is a strongly correlated electron material, which undergoes a first order metal-insulator phase transition (MIT) with a few orders of magnitude change of conductivity and associated tetragonal-to-monoclinic structural transition at a temperature of about 68 °C. The transition is accompanied with fast (~10-13 s) change in the dielectric function, which sparkled numerous potential applications of VO2 in MIT-governed ultrafast modulators and switchable polarizers, beam steering and thermochromic devices. Due to responsiveness of key electronic and optical properties of VO2 to a variety of external stimuli, VO2 became a classical example of a smart material. Single-crystalline VO2 quasi-2D nanoplatelets possess all unique properties of quasi-1D single-crystalline nanobeams and yet have extensive planar surface required for many applications such as optical modulation, electromechanics and sensing. We find that when the lateral dimensions of the single crystalline nanoplatelets exceed a critical size of ca. 1 μm, the low-temperature phase can spontaneously break into differently oriented domains in the course of the structural transition. The ferroelastic domain structure of the low-temperature semiconducting phase is dependent on the nanoplatelet lateral dimensions and nanoplatelet-substrate interaction, with lamellar patterns being characteristic for narrower nanoplatelets and labyrinth patterns for wider ones. Based on group-theoretical considerations, we have identified ferroelastic domain species and domain walls. Nucleation and growth of low temperature homophase domain patterns is influenced by the parent-daughter heterophase domain behavior in the temperature range where both phases coexist. A periodic structure of metal and semiconducting domains arising during the phase transition frequently evolves into a parallel-plane structure consisting of alternating ferroelastic domains being mirror images of each other and separated by domain walls perpendicular to the tetragonal c-axis of the metallic phase. By applying AFM for topographic imaging with simultaneous near-field SMM, we show that in this case on cooling, metallic domains disappear into ferroelastic domain walls and metallic phase nucleates at the same domain walls on warming. Remarkably, ab initio density functional calculations indicate that ferroelastic domain walls of this type possess metallic character at low temperatures, which should be ascribed to elevated structural symmetry of the domain walls. Research at ORNL’s Center for Nanophase Materials Sciences was sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences, U.S. DOE.
9:00 PM - N11.47
Doping Effect on Valence Band and Chemical State of Sb- and In-doped SnO2 (101) Thin Films.
Takahiro Nagata 1 2 , Mark White 1 , Oliver Bierwagen 1 , Min-Ying Tsai 3 , James Speck 1
1 Materials Department, University of California, Santa Barbara, California, United States, 2 Advanced Electric Materials Center, National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 3 Department of Electrical and Computer Engineering, University of California, Santa Barbara, California, United States
Show AbstractTin dioxide (SnO2) is a wide bandgap semiconducting oxide material that has been shown to have major potential for use in transparent conducting oxide (TCO) due to its transparency in the visible range and relatively high electrical conductivity. SnO2 exhibits a highly sensitive surface which has proven it useful as a sensor technology. For progress of the SnO2 thin film electric devices, understanding the carrier control is required. Controlling the n-type conductivity and achieving reproducible p-type doping of SnO2 still poses serious challenges. On the n-type doping, we demonstrated controllable n-type doping with high mobility by antimony (Sb) doping using high quality single crystalline epitaxial SnO2 thin films.1) In contrast to the n-type doping, reproducible p-type doping still have not been achieved. Singh et al. suggested from first-principle calculations that p-type doping in SnO2 has a significantly higher chance of success than in other oxides such as ZnO, and the elements from group IIIA (In, Ga, and Al) exhibit a distinct preference for incorporation on Sn sites and act as shallow acceptors.2)
For all SnO2 doping studies, the Fermi level changing behavior have yet to be sufficiently elucidated. In this paper, we investigated the valence band structure and chemical states of Sb- and In-doped SnO2 films using x-ray photoelectron spectroscopy (XPS), and explained the doping effect on the Fermi level of the SnO2 films.
All single phase Sb- and In-doped SnO2 epitaxial thin films were grown by plasma-assisted molecular beam epitaxy on r-plane sapphire substrates. XPS measurements indicate the Fermi level shift in the Sb- and In-doped SnO2 films. On the unintentionally doped SnO2 film, the Fermi level located at 0.2 eV below of the conduction band. By Sb doping, the Fermi level moved up by 0.3 eV and into the conduction band. Thus, the intensity of the conduction band feature showed Sb concentration dependence. In the In-doping, the Fermi level moved into the opposite direction towards mid-gap. However the Fermi level did not reached the valence band maximum. The band structure and the relationship between the electrical properties and the chemical states will be discussed in detail.
This work was supported in part by the National Science Foundation (NSF) MRSEC Program under award No. DMR05-20415. A portion of this work was done in the UCSB nanofabrication facility, part of the NSF funded NNIN network.
1) M. E. White et al., J. Appl. Phys. 106 (2009).
2) A. K. Singh et al., Phys. Rev. Lett. 101, 055502 (2008).
9:00 PM - N11.48
Utilizing Phase Transitions in Vanadium Oxide for Frequency Tunable Near-infrared Metamaterials.
Koray Aydin 1 , Imogen Pryce 1 , Matthew Dicken 1 , James Ma 2 , Luke Sweatlock 2 , Harry Atwater 1
1 Thomas J. Watson Laboratories of Applied Physics, California Institute of Technology, Pasadena, California, United States, 2 , Northrop Grumman Space Technology Research Laboratories, Redondo Beach, California, United States
Show AbstractVanadium oxide (VO
2) is a promising phase-transition material that can be used in designing functional optical and electronic devices. Vanadium oxide exhibits a dramatic change in its complex refractive index arising from a structural phase transition from monoclinic to rutile at 68
oC. As a result of the insulator-to-metal transition, the conductivity increases by as much as four orders of magnitude and the optical transmission in the near-IR decreases significantly. Drastic changes in the optical properties of VO
2 with the phase transition enable control over the transmission and reflection properties of nanophotonic structures.
We demonstrate here a functional metamaterial composed of nanostructured VO2/Ag resonators. Metamaterials are specially designed artificial photonic materials allowing us to access novel electromagnetic phenomena and functionalities such as artificial magnetism, negative refraction, cloaking and superlensing. Typical metamaterials consist of arrays of metal structures embedded in a dielectric with feature sizes much smaller than the desired operating wavelength. The choice of materials and the resonator dimensions determine the resonant frequency of the metamaterial. We introduce the design of self-aligned, hybrid Ag/VO2 split-ring resonators (SRR) as an approach to tuning the metamaterial response in the near-IR by controlling the resonator geometry with the phase transition.
Thin films of vanadium dioxide (VO2) are grown by pulsed laser deposition. The complex refractive indices of thin films in both phases were determined by spectral ellipsometry under convective heating. Full field electromagnetic wave simulations are performed for bi-layer Ag-VO2 SRR arrays by using complex refractive indices for the semiconductor and metallic phases. Amplitude modulation and resonance frequency tuning is achieved in the 1-4 µm wavelength range for a planar array of 400×440 nm SRRs. We patterned 150 nm thick Ag SRR arrays on 60 nm thick VO2 film on a thick sapphire substrate using electron-beam lithography. Reactive ion etching is used to etch VO2 thin films to form bi-layer Ag-VO2 SRR structures. FTIR reflection data and FDTD simulation results show the resonant peak position red shifts upon heating above the phase transition temperature.
The experimental results suggest that upon heating the nanostructured VO2 is not a homogeneous metallic rutile phase, but represents an intermediate phase where domains of both semiconductor and metallic phases co-exist. We employed the simplest model possible that provides good quantitative agreement with experimental observations: we assume that the heated 60 nm thick VO2 film is a bi-layer composed metallic and semiconductor phases. Alternative active metamaterial design ideas yielding a line-width tunability utilizing the VO2 phase-transition will be discussed.
9:00 PM - N11.49
Novel Approaches to Complex Oxide Molecular Beam Epitaxy.
Bharat Jalan 1 , Roman Engel-Herbert 1 , Nick Wright 1 , Pouya Moetakef 1 , Joel Cagnon 1 , Susanne Stemmer 1
1 Materials Department, University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractThe structural quality of oxide films grown by molecular beam epitaxy (MBE) now matches that of epitaxial semiconductors. Stoichiometry control, however, remains a major challenge. In particular, many important complex oxides lack an “MBE growth window” that would allow for a range of fluxes in which only the stoichiometric phase grows. Without an MBE growth window, precise flux control and stability are required to obtain a stoichiometric oxide. Flux control is possible on the order of 0.1 – 1%, which corresponds to defect concentrations of 1020-1021 cm-3 or higher. This sharply contrasts with high-quality semiconductor films, where defect concentrations are in the ppm range or better (corresponding to concentrations of less than 1017 cm-3). In this presentation, we will present on a new hybrid MBE approach that uses a metal organic source (titanium tetra iso-propoxide, TTIP) for Ti, a solid source for strontium and a rf oxygen plasma source to supply oxygen. TTIP source has orders of magnitude higher vapor pressure than solid Ti, which allows for scalable growth rates. Furthermore, high volatility of the TTIP precursor allows for MBE growth window that enables the growth of stoichiometric SrTiO3 films without the need for precise flux control. We demonstrate stoichiometric, highly perfect, insulating SrTiO3 films with excellent structural quality as evidenced by very narrow rocking curve widths identical to those of the substrates (i.e. 34 arcsec in case of SrTiO3 on (001) (La0.3Sr0.7)(Al0.65Ta0.35)O3 substrates ), atomically smooth surfaces (with unit cell step heights) and structural perfection as observed in high resolution transmission electron microscopy. The film lattice parameter on SrTiO3 substrate was used as a measure of stoichiometry. With increasing substrate temperature and decreasing oxygen pressure the growth window widened and shifted towards higher TTIP to Sr flux ratios showing that the growth window is caused by the desorption of the volatile metal organic precursor TTIP. In addition, the carbon impurity concentration of the SrTiO3 films was investigated as a function of growth parameters by secondary ion mass spectroscopy. It was found that films grown at 725 °C and below showed carbon concentrations of about 2×1018 cm3, whereas at higher substrate temperatures (> 800 °C) the carbon concentration was at or below the carbon concentration of the substrate (mid-1017 cm-3). Furthermore a carbon contamination layer was detected at the substrate surface, which could be removed by high-temperature oxygen plasma cleaning prior to growth. We will discuss the consequence of interface contamination layer on the stoichiometry optimization of homoepitaxial SrTiO3 films using high-resolution x-ray diffraction. We will also discuss the origin of thickness fringes often observed in homoepitaxial growth of SrTiO3 and report on the electrical and dielectric characteristics of SrTiO3 films grown by the hybrid MBE approach.
9:00 PM - N11.5
Synthesis of Anatase TiO2 Nanocrystals With Exposed {001} Facets.
Yunqian Dai 1 2 , Claire Cobley 1 , Jie Zeng 1 , Yueming Sun 2 , Younan Xia 1
1 Biomedical Engineering, Washington university in saint louis, Saint Louis, Missouri, United States, 2 School of Chemistry and Chemical Engineering, Southeast University, Nanjing China
Show AbstractAnatase TiO2 crystals are usually dominated by {101} facets, which are thermodynamically stable due to a low surface energy. Although {001} facets with a higher energy are more important for higher reactivity, they usually diminish rapidly during a crystal growth process. In this work, we reported that well-defined anatase TiO2 nanocrystals with exposed {001} facets could be synthesized in high yields by controlling the hydrolysis rate of the sol-gel precursor and hydrothermal treatment. The nanocrystals were prepared by digesting electrospun nanofibers consisting of amorphous TiO2 and poly(vinyl pyrrolidone) with an aqueous acetic acid solution (pH=1.6), followed by hydrothermal treatment at 150 oC for 20 h. The as-obtained nanocrystals exhibited a truncated tetragonal bipyramidal shape with 9.6% of the surface being enclosed by {001} facets. The use of electrospinning is critical to the success of this synthesis as it allows for the generation of very small particles of amorphous TiO2 to facilitate hydrothermal crystallization -- an Ostwald ripening process. The pH value of the medium used for hydrothermal treatment played an important role in controlling the morphologies of the as-obtained TiO2 nanocrystals. At pH=0.23, we observed a sharp tetragonal shape and the disappearance of {001} facets as a result of significant PVP adsorption onto the {101} facets. As the pH value was increased to 3.0, oriented attachment led to a rod-like morphology. When inorganic acids other than acetic acid were added to the media, the products showed different aggregation morphologies consisting of tiny nanocrystals. These results clearly demonstrated that anatase TiO2 nanocrystals could be prepared with chemically active {001} facets to enable a range of catalytic applications.
9:00 PM - N11.51
Mechanism of Semiconductor Metal Transition (SMT) of VO2 Thin Films.
Tsung-Han Yang 1 , Ravi Aggarwal 1 , Alok Gupta 1 , Honghui Zhou 1 , Jagdish Narayan 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show Abstract We have made a comparative study of properties of VO2 thin films grown on c- and r-plane sapphire substrates and Si-based substrate, such as Si(111) and SiOx/Si(100). The microstructure varied from epitaxial growth on c- and r-plane sapphire substrates to poly-crystalline and nano-crystalline (textured) on Si(111) and SiOx/Si(100). There are two types of coherent twin boundaries for (200) and (2-11) epitaxial VO2 films on r-cut sapphire because of the two equivalent energy planes of (200) and (2-11). Compared to VO2 films grown on r-plane sapphire, three types of coherent twin boundaries in (002) epitaxial film on c-plane sapphire are due to three equivalent directions for epitaxial growth. The SMT characteristics changed from being sharp (T=5.4 C) to quite diffused (T=38 C) and the transition temperature changed from low (57.3 C) to high (83.8 C) when the residual strain changed from compressive to tensile. The width of thermal hysteresis (H), sharpness of the transition (T) and the amplitude change (A) of SMT transition are discussed. To explain the unique characteristics of SMT of VO2 films, we proposed a phase transformation model, which is based on nature of grain boundaries and the critical size of stable nuclei of VO2. * This research was sponsored by National Science Foundation (DMR-0803663)
9:00 PM - N11.52
Laser Radiation Induced Recovery and Non-recovery Defects in VO2 Thin Films.
Tsung-Han Yang 1 , Sudhakar Nori 1 , Chunming Jin 1 , Siddhartha Mal 1 , Jagdish Narayan 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractThe presence of defects and their interaction with the host lattice play a vital role in determining as well as in controlling the physical properties of strongly correlated electron systems such as vanadium dioxide (VO2). The sharp first order semiconductor metal transition (SMT) occurring above room temperature in VO2 makes it unique in a wide range of applications such as optical switching devices, smart green windows and optical storage systems to name a few. The defects induced by laser irradiation in the epitaxial VO2 films deposited on r-cut sapphire substrates were systematically investigated and are being reported here. A KrF pulsed laser (248 nm) with 20 pulses was operated to create the recovery and non-recovery defects in the epitaxy VO2 films. The room temperature ferromagnetic properties are found to be affected (i) in the films that were subjected to freshly laser irradiated VO2 films, (ii) in the previously deposited VO2 films that were irradiated after a gap of one month. We have studied the recovery and non recovery of defects in both cases. Furthermore, the non-recovery and recovery defects were also observed through the SMT characteristics of VO2 films, such as; the amplitude (A) of the SMT transition, the width of thermal hysteresis (H), sharpness of the transition (T). In the former case, where films were subjected to fresh laser irradiation, the three parameters that characterize the transition sharp transition (T= 5.5°C, A~4 orders of magnitude, H=3.5°C) have significantly changed with a broadened electrical transition in which the A was reduced by about 2 orders of magnitude. However the ferromagnetic properties are interesting. On the other hand, in the case films that were previously deposited that were laser irradiated after a gap of one month also showed similar broadened transition in SMT behavior but are non-magnetic. From this study, the different types of defects dominate the electrical and magnetic properties in VO2 films grown on r-cut sapphire. The observed room temperature ferromagnetic properties in VO2 films and their change upon laser irradiation strongly suggest the important role of defects and their mediation in VO2. * This research was sponsored by National Science Foundation (DMR-0803663)
9:00 PM - N11.53
The Role of Sputter Pressure in Influencing Electrical and Optical Properties of ITO on Glass.
S. Elhalawaty 1 , K. Sivaramakrishnan 1 , N. Theodore 2 , Terry Alford 1
1 Flexible Display Center at ASU, Arizona State University, Tempe, Arizona, United States, 2 Silicon Technology Solutions, Freescale Semiconductor Inc., Tempe, Arizona, United States
Show AbstractThin layers of indium tin oxide (ITO) were deposited on to glass substrates by rf magnetron sputtering with the pressure varying from 0.8 Pa to 2 Pa. The films were annealed in a reducing atmosphere at 500 °C for 30 minutes. Sheet resistance was determined by four-point-probe measurement. Resistivity, mobility, and carrier concentration were obtained by Hall effect measurements. Transmission of the films was determined by photospectrometry. The structure of the films was characterized by X-ray diffraction. X-ray photoelectron spectroscopy was used to determine the oxidation state of Sn, which determines the fraction of active tin clusters. The effect of additional anneals was investigated. The results reveal that the lowest resistivity obtained was 1.69×10-4 Ω-cm at 1.2 Pa and the highest transmittance of 90% was obtained after a second anneal. However, the second anneal decreased the mobility and conductivity for high sputter pressures.
9:00 PM - N11.54
Synthesis of Nano Core/Shell Phosphor Particles With Cores of the Luminescent Material.
Jinkyu Han 1 , Jan Talbot 1 2 , Joanna McKittrick 1 3
1 Materials Science and Engineering Program, University of California San Diego, La Jolla, California, United States, 2 Nanoengineering Department, University of California San Diego, La Jolla, California, United States, 3 Department of Mechanical and Aerospace Engineering, University of California San Diego, La Jolla, California, United States
Show AbstractIt is desirable to reduce the particle size of the phosphors used for solid state lighting applications. However, the quantum efficiency of oxide nanophosphors decreases as the particle size decreases, rendering a limited application of oxide nanophorhors. To get around this problem, several groups have synthesized core/shell nanophosphors, typically with a SiO2 core and the nanophosphor deposited as the shell. Although this method has shown encouraging results, the surface of the light emitting layer is still a region of atomic disorder and thus of luminescence quenching. In this work, we have fabricated novel core/shell nanophosphors with the core as the luminescent material (rare earth activated oxides) and the shell as a SiO2 coating. We believe this coating can mitigate the deleterious effects of surface defects and luminescence quenching. We report on the method of fabrication (sol gel along with the Pechini method) of several core/shell compositions and the photoluminescence behavior. We have found an ideal method to synthesize highly uniform, spherical 200 nm diameter core/shell nanophosphors that show the characteristic rare earth emission peaks of the core, which is 10-15 nm in diameter.
9:00 PM - N11.55
Growth Mechanism of MgO Nano-facet Using Electron-beam Evaporation.
Hak Ki Yu 1 2 , Jong-Lam Lee 1 2
1 Materials Science and Engineering, Pohang University of Science and Technology, Pohang, Gyungbuk, Korea (the Republic of), 2 Graduate Institute of Advanced Materials Science, Pohang University of Science and Technology, Pohang, Gyungbuk, Korea (the Republic of)
Show AbstractSimple ionic rock-salt MgO film has been studied for the purpose of insulating layer, diffusion barrier, and buffer layer of functional oxide for a long time. Although many researchers had been tried to grow well aligned epitaxial MgO film for the high performance of devices, they failed to notice the strain relaxed 3-dimensional MgO film structure. Generally, thin film tends to grow the direction of minimizing the overall film energy composed of surface energy and strain energy per unit surface area. At the early stage of growth, film grows 2-dimensionally by lattice matching with substrate. The accumulated strain between film and substrate causes 3-dimensional random island growth by strain relaxation and surface energy minimization play a critical role in this stage. The close packed (111) surface of MgO accompanying alternative array of Mg cation and O anion is very unstable because of dipole energy accumulation induced by polarity (Mg2+ plane and O2- plane) and low surface Madelung constant. So, (111) MgO surface forms facet structure that consist of stable (100) and its family plane to minimize the surface energy of film.Here, we report the growth of MgO nano-facet by controlling strain energy relaxation during MgO film deposition. Si (100), Si (111), and c-plane sapphire substrates were employed to modulated strain energy with MgO film. Si (100) and Si (111) have 30% lattice mismatches with MgO (200) and MgO (111) respectively. C-plane sapphire has relatively small lattice mismatch about 11% with MgO (111). MgO films were deposited by electron beam evaporation using high-purity MgO pellets (from Mitsubishi Materials Co.). The chamber pressure was maintained at about 10 -6 Torr during deposition and substrate temperature was room temp.For the case of Si (100) substrate, flat surface with only (200) x-ray diffraction was shown at the initial stage of growth below 50 nm film thickness and nano-facet structure was clearly shown with increase of (111) x-ray diffraction after strain relaxation above 100 nm film thickness. Relaxed MgO film tends to grow close packed (111) orientation and the surface terminates with neutral (100) and their family of plane; (010) and (001) by forming facet structure. From the HR-TEM image at the top of MgO micro-facet, it is well aligned to the MgO (111) orientation with lattice spacing of 0.243 nm. For the case of Si (111) and c-plane sapphire, only (111) x-ray diffraction was shown during growth. However, the nano-facet was also shown due to strain relaxation. The size of MgO nano-facet on Si (111) is larger than that on c-plane sapphire because strain relaxation of MgO film happened earlier on Si (111) than c-plane sapphire due to larger lattice mismatch. The periodic and size controllable MgO nano-facet arrangement could be used as light absorber of optical devices due to low refractive index and wide band gap properties. And it could be also used as nano-scratch surface and nano-gear for nano-piezotronics.
9:00 PM - N11.56
Post-growth Annealing Study on Magnetic Properties of Undoped Zinc Oxide Thin Films.
Siddhartha Mal 1 , Sudhakar Nori 1 , John Prater 2 1 , Jagdish Narayan 1
1 Materials Science & Engineering Department, North Carolina State University, Raleigh, North Carolina, United States, 2 Materials Science Division, Army Research Office, Durham, North Carolina, United States
Show AbstractZnO is a wide band semiconductor with applications ranging from opto-electronics, spintronics and biomedical based applications. The physical properties of zinc oxide films are highly sensitive to the growth parameters such as oxygen stoichiometry, substrate temperature and the ambient atmosphere for annealing studies. Defects play a very important role not only in determining the macroscopic physical properties, but also in controlling the optical, magnetic and electrical properties of any oxide system in general. We have grown several ZnO single crystalline thin films on c-axis oriented sapphire by pulsed laser deposition at a substrate temperature of 400oC and in a vacuum of 10-6 Torr. These undoped films are further subjected to post-growth annealing for 30 min. both under O2 and vacuum conditions at different temperatures from 200 to 800oC, in order to study the role of intrinsic defects. The c-axis (002) orientation of the films has been established by both θ-2θ as well as φ-scans of X-ray diffraction study. Both TEM and X-Ray studies revealed absence of any impurity precipitation or clustering. Detailed field dependent magnetization studies revealed that the oxygen annealed films below 600oC showed ferromagnetic (FM) properties with significant hysteresis that decreased with the increasing annealing temperatures. However, the films annealed at 600oC showed a high free carrier (n-type) concentration ~1019 cm-3, without any evidence of ferromagnetic behavior even at low measurement temperatures. These results suggest that the occurrence of ferromagnetism is not directly linked to the free carriers. Annealing of the same sample in vacuum at 800oC for 3 hours resulted in the reappearance of ferromagnetic properties. We believe that the reversible ferromagnetism is brought about by the introduction of magnetic moments at Zn vacancies and the exchange interaction mediated by the presence of O vacancies.
9:00 PM - N11.57
Epitaxial Oxide Heterostructures Combined With Nanorods for Enhanced Performance.
Venkat Selvamanickam 1 , Goran Majkic 1 , Narayan Khatri 1 , Xin Tao 1 , Senthil Sambandam 2
1 Mechanical Engineering and Texas Center for Superconductivity, University of Houston, Houston, Texas, United States, 2 , SuperPower, Schenectady, New York, United States
Show AbstractHeteroepitaxial growth of oxide multilayers especially on practically useful polycrystalline and amorphous templates enable a broad range of applications. For instance, a six-layer oxide structure based on heteroepitaxial growth of MgO, LaMnO3 and REBa2Cu3Ox (RE = rare earth) has enabled flexible superconducting tapes that are over a kilometer in length with current density performance levels equaling those achieved on single crystal substrates [1]. In this case, LaMnO3 serves the purpose of accommodating the large lattice mismatch (~ 9%) between MgO and REBa2Cu3Ox. A similar architecture has been successfully used for heteroepitaxial growth of Ge on oxide multilayers on flexible metal substrates. The Ge layer was then used to fabricate epitaxial GaAs for high efficiency photovoltaics on inexpensive, flexible substrates. In this case, even though LaMnO3 has a good lattice match with Ge, it was found not to result in epitaxial growth of the latter. Instead a CeO2 layer on MgO provided a good structural match with Ge leading to epitaxial growth in spite of the relative large mismatch of 4.5% [2]. These types of epitaxial oxide heterostructures are now being explored for other energy applications too such as thermoelectrics.Nanostructures are now being combined with the epitaxial oxide heterostructures on practical substrates to further enhance performance. For example in the architecture used for superconducting REBa2Cu3Ox, nanorods of BaZrO3 (BZO) are created in situ during Metal Organic Chemical Vapor Deposition by a self assembly process and embedded in the oxide film along the film growth direction. Simultaneously, (Gd,Y)2O3 (GYO) nanoscale precipitates are formed aligned perpendicular to the film growth direction. Together, the nanoscale BZO and GYO provided significantly enhanced flux pinning leading to improved critical current performance in a magnetic field [3]. Directed assembly of the nanorods is being explored by use of appropriate nucleation sites on the LaMnO3 surface. Additionally, processes to create more controllable nanostructures that can also be combined with epitaxial oxide heterostructures on practical substrates are being developed and will be discussed in this presentation. 1.V. Selvamanickam et al. “High Performance 2G wires : From R&D to Pilot-scale Manufacturing”, IEEE Trans. Appl. Supercond. 19, 3225 (2009)2.V. Selvamanickam, S. Sambandam, A. Sundaram, S. Lee, A. Rar, X. Xiong, A. Alemu, C.Boney, and A. Freundlich, “Germanium films with strong in-plane and out-of-plane texture on flexible, randomly textured metal substrates” J. Crystal Growth 311, 4553 (2009) 3.Y. Chen, V. Selvamanickam, Y. Zhang, Y. Zuev, C. Cantoni, E. Specht, M. Paranthaman, T. Aytug, D. Lee, and A. Goyal, “Enhanced flux pinning by BaZrO3 and (Gd,Y)2O3 nano-structures in metal organic chemical vapor deposited (GdY)BCO high temperature superconductor tapes”, Appl. Phys. Lett. 94, 062513 (2009).
9:00 PM - N11.58
Ultra-fast Gas Sensors Based on Self-heating Operated Individual Metal Oxide Nanowires.
Francisco Hernandez-Ramirez 1 2 , Juan Daniel Prades 2 , Sanjay Mathur 3 , Joan Ramon Morante 1 2
1 XaRMAE-Advanced Materials for Energy, Catalonia Institute for Energy Research, Barcelona Spain, 2 Electronics, University of Barcelona, Barcelona Spain, 3 Inorganic Chemistry, University of Cologne, Cologne Germany
Show AbstractSingle-crystalline semiconductor metal oxide nanowires exhibit novel structural and electrical properties attributed to their reduced dimensions, well-defined geometry and the negligible presence of grain boundaries and dislocations in their inside. This favors direct chemical transduction mechanisms at their surfaces upon exposure to gas molecules, making them promising active device elements for a new generation of chemical sensors. Due to their small mass, metal oxide nanowires can be heated up to the optimal operating temperature for gas sensing applications using only the bias current applied for measuring their resistance.[1] This so-called self-heating effect enables dramatically lowering the power consumption of the sensors, giving rise to devices more efficient than their nanoparticle-based counterparts. Here, we demonstrate that operating a single nanowire with pulsed self-heating minimizes the thermal inertia of the sensors, prevents gas diffusion processes, and results in faster dynamic responses only limited by the surface reaction kinetics.[2] Experimental response and recovery times of individual SnO2 nanowires towards oxidizing and reducing gases obtained with the here-proposed methodology were related to the reaction barriers predicted by theoretical models and other experimental techniques. Furthermore, the here-presented system is an important step forward toward ultra fast chemical gas sensing devices.[1] J. D. Prades et al. Applied Physics Letters 93, 123110 (2008).[2] J. D. Prades, R. Jiménez-Díaz, F. Hernàndez-Ramírez, J. Pan, A. Romano-Rodríguez, S. Mathur, J. R. Morante, Applied Physics Letters 95, 053101(2009).
9:00 PM - N11.6
Dip-coated Mesoporous TiO2 Thin Films With 3D-Hexagonal Mesostructure.
Chen Wang 1 , Zude Feng 1
1 Department of Materials Science and Engineering, Xiamen University, Xiamen, Fujian, China
Show AbstractMesostructured titania thin films have been widely investigated as a result of their potential applications as electrochromics, photoconductors, photocatalysts, sensors and photovoltaics. Studies suggested that 3D interconnected pore structures were expected to be superior to 2D hexagonal or lamellar structures for certain applications. In this study, we introduced a cost-effective and reproducible dip-coating method to assemble highly ordered 3D Hexagonal mesoporous TiO2 thin films.The synthetic method of the thin film was based on the well-documented evaporation-induced self-assembling (EISA). A precursor sol was prepared using tetrabutyl titanate (Chemical Pure) as the inorganic precursor and triblock copolymer (Pluronic P123) as the structure-directing agent under acidic conditions. The films were dip-coated and aged at highly humidity (85%-90%R.H.), which led to the structure with vertical channels to the substrates after calcination.The calcined films exhibited good optical quality, and the thickness could be controlled from 100 to 500 nm by the pulling rate. The small-angle X-ray diffraction patterns of the films exhibited intense and narrow Bragg diffraction peaks, suggesting the presence of large and highly organised domains with a single ordered structure. The Raman spectrum demonstrated that the walls of the pore were composed of anatase crystallites. The scanning electron microscopy (SEM) images demonstrated that the film exhibited an ordered pore arrangement with hexagonal symmetry over the entire top surface and a 2D structure in the cross section, indicating that the film had a 3D structure. The transmission electron microscopy (TEM) images of the film showed an ordered hexagonal arrangement that can be regarded as viewed from [001] zone axes. The corresponding FFT diffractograms also demonstrated that the film exhibited hexagonal structure.The obtained films exhibited channels vertical to substrate from the surfaces. And the wall material turned into anatase without losing the pore ordering. With all these features combined, the film may have potential applications to photocatalysts and optical-electronic devices with high efficiencies.
9:00 PM - N11.60
Free Exciton Dynamics in ZnO Tetrapod Structures.
S. Lee 1 , S. Chen 1 , D. Hongxing 2 , Z. Chen 2 , W. Chen 1 , Irina Buyanova 1
1 Department of Physics, Chemistry and Biology, Linkoping University, Linkoping Sweden, 2 Department of Physics, Fudan University, Shanghai China
Show AbstractZnO is an excellent candidate material for use in visible, ultraviolet (UV), and white light emitting devices owing to its wide bandgap and large exciton binding energy which allows free exciton (FE) emission even at room temperature (RT). The desire for efficient light emitter has motivated considerable interest in exciton dynamics as it provides information on both efficiency of radiative recombination, determined by oscillator strength of the corresponding optical transitions, and also material quality reflected by contributions of non-radiative recombination in lifetimes of photogenerated carriers. The lifetime of excitonic photoluminescence (PL) at room temperature is usually nonradiative and reaches several nanoseconds at RT in high quality ZnO single crystals. It, however, is typically much shorter ( ~ hundreds of picoseconds) in ZnO nanostructures implying poor material quality. In this work we employ temperature dependent time resolved PL spectroscopy to characterize optical quality of ZnO tetrapods and to compare it with commercially available bulk ZnO substrates. The tetrapods were fabricated using a vapor-phase transport method at a temperature of ~700 oC under atmospheric pressure. It is found that PL transient measured for the FE emissions is significantly longer in the tetrapods than in the reference bulk ZnO, indicating better quality of the former. The PL decay in tetrapods is concluded to contain two decay components with the corresponding time constants of 1 ns and 14 ns at room temperature. The fast decay component is attributed to nonradiative recombination related, presumably, to surface states. The slow decay time, on the other hand, is suggested to mainly represent true radiative lifetime of the FE. The latter conclusion was based on observed correlation between thermally induced increases in the PL linewidth and lifetimes of the FE. The obtained results testify towards superior optical quality of the investigated tetrapod structures as the decay time of the slow PL component is comparable to the longest lifetimes reported so far for bulk and nanostructured ZnO.
9:00 PM - N11.61
The Synthesis and Properties of Silica and Hybrid Metal-silica Nanostructures.
Robert Elliman 1 , Tae-Hyun Kim 1 , Avi Shalav 1
1 Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractA random distribution of amorphous silica nanowires can be grown on silicon by a metal-induced vapour-liquid-solid process in which the wafer is first coated with a thin metal film and then annealed in nitrogen or argon at temperatures around 1100oC. This is shown to involve several steps: a) islanding of the metal film to produce catalyst particles on the silicon surface, b) the production of SiO vapour via a metal-catalysed etching-reaction between residual oxygen (present in the annealing ambient at approx. 5 ppm) and the silicon substrate, c) longitudinal growth of silica nanowires via a vapour-liquid-solid growth mechanism, and d) lateral growth of the nanowires via an adsorption reaction. It is further shown that variants of this simple growth process can be used to grow a diverse range of silica- and hybrid metal-silica nanostructures, including: self-supporting nanowire films and membranes; optically active nanowires doped with Er; hybrid metal-silica peapod, core-shell and coaxial structures; and complex tree-like structures based on secondary nanowire growth. Such structures are shown to have interesting optical properties with great potential for biological and environmental sensing.
9:00 PM - N11.62
Effects of He-irradiation on the Metal-to-insulator Transition on Vanadium Dioxide Nanoclusters.
Helmut Karl 1 , Jing Peng 1 , Bernd Stritzker 1
1 Institute of Physics, University of Augsburg, Augsburg Germany
Show AbstractIn this work nanoclusters of vanadium dioxide (VO2) buried in 200nm thick SiO2 on silicon have been irradiated by different fluences of He. The projected range of He was chosen to be much larger than 200 nm in order to avoid residual He in the VO2 nanoclusters. The VO2 nanoclusters have been synthesized by sequential ion implantation of the elements vanadium and oxygen followed by a rapid thermal annealing step. The irradiation with He+-ions leads to the generation of reversible lattice defects in the VO2 nanoclusters. The metal-to-insulator phase transition has been investigated as a function of He fluence by micro-Raman spectroscopy and spectral ellipsometry. It was found that the thermal hysteresis of the metal-to-insulator transition can be tailored by the He fluence and is completely reversible by thermal annealing. The micro-Raman spectra identify the structural changes as a function of He fluence and temperature. The Raman peak positions and line widths will be discussed in the context with irradiation defects.
9:00 PM - N11.7
Effect of Energy-transfer on the Photoluminescence from Hybrid Structures of ZnO Film/Si Nanocrystals.
Dong Hee Shin 1 , Sung Kim 1 , Chang Oh Kim 1 , Suk-Ho Choi 1
1 , Kyung Hee University, Yongin Korea (the Republic of)
Show Abstract300-nm thick SiOx layers have been prepared on Si wafer by ion beam sputtering and subsequently annealed to form Si nanocrystals (NCs) in SiO2 layer (Si NCs:SiO2). The oxygen content (x) of SiOx was varied from 1.0 to 1.8, which was controlled and determined by x-ray photoelectron spectroscopy analysis. 100-nm thick ZnO films were deposited on top of the Si NCs:SiO2 layers by RF magnetron sputtering to form hybrid structures of ZnO film/Si NCs. High-resolution transmission electron microscopy demonstrated the existence of 2 ~ 7 nm Si NCs depending on x value. As x varied from 1.0 to 1.8, the visible photoluminescence (PL) spectra from non-hybrid Si NCs:SiO2 layers were blue-shifted from 1.42 to 1.68 eV, which is well known as quantum confinement effect. Near-band-edge (NBE) ultraviolet (UV) PL spectra from the ZnO layer of the hybrid samples were peaked at 3.3 eV, irrespective of x value. When x varied from 1.0 to 1.8, the visible PL spectra of the hybrid samples were blue-shifted from 1.55 to 1.66 eV with a total shift of about 0.11 eV, which is much smaller than that of the non-hybrid samples. The visible PL intensity of the non-hybrid samples showed a maximum at x=1.6, and above this, it showed a decrease with increasing x. In contrast, the visible PL of the hybrid samples showed a maximum intensity at x=1.8, which was almost 2 times larger than that of the non-hybrid samples. In contrast, the NBE-PL intensity sharply decreased with increasing x. These results suggest that the PL from the Si NCs:SiO2 layer is strongly influenced by the hybridization with ZnO film and vice versa. Possible physical mechanisms including energy transfer are discussed with reference to the data of the optical analysis by continuous-wave and time-resolved PL as functions of x.
9:00 PM - N11.8
Luminescence and Magnetic Properties of Transition-metal-ion Implanted ZnO Films.
Chang Oh Kim 1 , Dong Hee Shin 1 , Hyoung Taek Oh 1 , Keun Yong Lim 1 , Suk-Ho Choi 1 , K. Belay 2 , Rob G. Elliman 2
1 , Kyung Hee University, Yongin Korea (the Republic of), 2 , Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractPhotoluminescence (PL) and magnetic properties were studied for V and Nb implanted ZnO films (ZnO:V and ZnO:Nb), whose structural characterization were done by x-ray diffraction (XRD), x-ray photoelectron microscopy (XPS), and electron spin resonance (ESR). 100-nm-thick ZnO films were implanted with 100 keV V or 140 keV Nb ions to doses (nV and nNb) of 1.0 x 1015, 2.5 x 1015, 5 x 1015, and 1.0 x 1016 cm-2 at room temperature and subsequently annealed at 300 ~ 900 oC for 20 min in an oxygen ambient. Near-band-edge (NBE) PL from ZnO:V films was observed at ~ 380 nm, irrespective of nV and nNb and annealing temperature (TA). The PL intensity increased with increasing nV from 0 to 2.5 x 1015 cm-2, but at nV ≥ 5 x 1015 cm-2, it decreased. As TA increased from 300 to 900 oC, the NBE PL intensity increased, and when TA = 900 oC, it was almost 30 times enhanced for a sample with nV = 2.5 x 1015 cm-2, compared to the un-implanted ZnO film. Even if the ZnO:Nb films emitted less-strong PL, it’s dependences on TA and nNb showed similar trend with the ZnO:V films. The XRD peak at ~ 34 o for the ZnO:V films annealed at 900 oC, which was attributed to the ZnO (002) plane, increased steeply with increasing nV up to 2.5 x 1015 cm-2, but at nV > 2.5 x 1015 cm-2, it decreased, consistent with the nV-dependent variation of the NBE PL intensity. At nV > 2.5 x 1015 cm-2, the XRD peaks not only from ZnO (002) phase but also from V clusters or V oxide phases were identified. The relative intensity of the fitted O 1s XPS peaks (I531/I533) and the Zn 3d XPS peak intensity showed maximum intensities at nV = 2.5 x 1015 cm-2. This could explain why PL behaviors are changeable with respect to nV = 2.5 x 1015 cm-2. The M-H curves measured at 10 K exhibited strongest ferromagnetism at TA = 500 oC for the ZnO:V films with nV = 2.5 x 1015 cm-2. Possible physical mechanisms are discussed to explain the correlation of the luminescence and magnetic properties with the structural data obtained by XRD, XPS, and ESR.
9:00 PM - N11.9
New Synthesis Route for Magnetic Nanoparticles and Their Versatile Applications.
Ashwin Murugappan Chockalingam 1
1 , CECRI, Karaikudi India
Show AbstractThe synthesis of magnetic nano particles by adopting various chemical routes have been one amongst the Interesting areas of 1D nanomaterials synthesis as the applications of these nanomaterials is very versatile and of much commercial importance. Here we report a biological route for magnetic nanoparticles synthesis and its applications in fields like the Li ion Batteries, In vitro bacterial detection and in the development of anti microbial, corrosion paints.Exploitation of the superparamagnetic property of these magneticnanomaterials(Fe3O4,Co3O4) was one amongst the motive of our work.Here we Exploit the phytochemical properties of green plants likeTomato,Black grapes For the synthesis of the nanometallic oxides in a much safer manner compared to the harsh chemical reduction routes followed. The presence of phytochemical like polyphenols, terepenes act as reducing agents, carbohydrates and flavinoids as shape and size stabilizers. The Yield of Nanoparticles By this method was also in the higher ranges.Here We report application In the development of SPION(Superparamagnetic iron oxide nanoparticles)based paints composited with epoxy resin which exhibits enhanced antimicrobial, anticorrosive properties in comparison with the convectional epoxy coating methods. Also an single step detection of pathogenic bacteria content in the Bovine serum is also been described here as an radical application of surface functionalized nanoparticles.We have also provided nuances for the fabrication of Co3O4 based electrode material and their conduction properties in Lithium Ion Batteries which is presently under development.
Symposium Organizers
Alain Pignolet Institut National de la Recherche Scientifique (INRS) /
Universite du Quebec
Hariharan Srikanth University of South Florida
Lionel Vayssieres National Institute for Materials Science
Anke Weidenkaff EMPA - Swiss Federal Laboratories for Materials Testing and Research
N12: Ferroics and Multiferroics II
Session Chairs
P. Maksymovych
Alain Pignolet
Thursday AM, April 08, 2010
Room 2001 (Moscone West)
9:30 AM - **N12.1
Functional Oxide Nanostructures and Heterostructures for Spintronics.
Agnes Barthelemy 1 , M. Bibes 1 , V. Garcia 1 2 , O. Copie 1 , Z. Sefrioui 3 , M. Basletic 5 , K. Bouzehouane 1 , S. Fusil 1 , E. Jacquet 1 , D. Imhoff 4 , L. Bocher 4 , C. Deranlot 1 , A. Hamzic 5 , J. Santamaria 3 , N. Mathur 2
1 , Unité Mixte de Physique CNRS/Thales, Palaiseau France, 2 , University of Cambridge, Cambridge United Kingdom, 3 GFMC, Universidad Complutense de Madrid, Madrid Spain, 5 Department of Physics, University of Zagreb, Zagreb Croatia, 4 Laboratoire de Physique des Solides, Université Paris Sud, Orsay France
Show Abstract Due to the large diversity of their physical properties and the potentiality to modulate them, the role of oxides in electronics has continuously increased over the past few years. The field of spintronics is no exception [1]. This functional character is further increased by the possibility to obtain radically new properties at their interfaces. To illustrate our work in this field, I will present results on all manganite tunnel junctions in which ferromagnetism is induced in the barrier by proximity effect resulting in a related exchange splitting of the conduction band and the ensuing spin filtering phenomena [2]. I will also present experiments on heterostructures combining ferroelectric tunnel barriers of BaTiO3 and ferromagnetic electrodes (Fe or Co). This kind of heterostructures allows to generate, within a single device, not only a tunnel magnetoresistance (TMR) phenomena related to the relative orientation of the magnetization of the electrodes and a tunnel electroresistance (TER) induced by the ferroelectric polarisation of the barrier [3]. They also give rise to an interfacial magnetoelectric coupling that results in an unusual modulation of the spin polarisation at the interface by the ferroelectricity resulting in a TEMR (Tunnel Electro MagnetoResistance) effect in these artificial multiferroic heterostructures [4]. Finally, I will also present recent results on the conduction at the interface between two insulating materials SrTiO3 and LaAlO3 and the experiments we have performed in order to determine the extension of this electron gas [5]. [1] M. Bibes and A. Barthélémy; IEEE Trans. Electron Dev. 54, 1003 (2007)[2] Z. Sefrioui et al. ; to be published[3] C. G. Duan et al. ; PRL 97, 047201 (2006); M. Fechner et al., PRB 78, 212406 (2008)[4] V. Garcia et al.; Nature 460, 81 (2009)[5] M. Basletic et al. ; Nat. Mat. 7, 621 (2008) ; O. Copie et al. ; Phys. Rev. Lett. 102, 216804 (2009)
10:00 AM - **N12.2
Understanding Magnetism at the Isostructural Phase Boundary in Multiferroic BiFeO3.
Qing He 1 , Seung-Yeul Yang 1 , Chih-Hung Wang 2 , Hong-Ji Lin 3 , Ying-Hao Chu 2 , R. Ramesh 1 4 5
1 Physics, University of California, Berkeley, Berkeley, California, United States, 2 Materials Science and Engineering, National Chiao Tung University, HsinChu Taiwan, 3 , National Sychrotron Radiation Research Center, HsinChu Taiwan, 4 Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 5 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractMultiferroic materials are currently of great scientific interest as a consequence of the potential to couple two or more order parameters (such as magnetism and electricity) as well as the possibility of controlling one through the other (e.g., control and manipulation of magnetism with an electric field). Among the most intriguing and most widely studied multiferroic materials in recent years is BiFeO3 (BFO). Recent study suggests that epitaxial strain can lead to an isostructural change from distorted rhombohedral to a distorted tetragonal structure. In this work, ferromagnetic (or pyromagnetic) phase boundaries between these two phases in BFO thin films are induced from the as-grown state or by applying electric field using piezo-response force microscopy. Rhombohedral-like BFO is a G-type antiferromagnet with its easy plane in (111)-type planes. In depth density functional theory calculations suggest that tetragonal-like BFO is a C-type antiferromagnet with its easy plane in (110)-type planes. Detailed antiferromagnetic ordering of tetragonal-like BFO has been carefully studied using photo-emission electron spectroscopy with linear polarized x-rays. The isostructural phase boundary is magnetically frustrated because the transition between G-type and C-type antiferromagnetic ordering. X-ray magnetic circular dichroism is observed using photo-emission electron microscopy and spectroscopy with circular polarized x-ray at Advanced Light Source and National Synchrotron Radiation Research Center.
10:30 AM - N12.3
Fabrication of Bulk-like Single Crystal LaAlO3 Thin Films on SrTiO3 (001) Using ``SrAlOx" Buffer Layers.
Hiroki Sato 1 , Takuya Higuchi 1 , Yasuyuki Hikita 1 , Harold Hwang 1 2
1 Department of Advanced Materials Science, University of Tokyo, Kashiwa, Chiba, Japan, 2 , Japan Science and Technology Agency, Kawaguchi, Saitama, Japan
Show AbstractPractically all heteroepitaxial oxide thin films are strained due to the inevitable lattice mismatch between the films and the substrates. The residual strain in the films can have a significant effect on the film properties [1], and therefore the fabrication of strain-relaxed thin films adds a new degree of freedom for growing oxide artificial heterostructures. However, there are limited reports on this issue. Here we present the fabrication of single crystal strain-relaxed LaAlO3 thin films on SrTiO3 (001) using ‘‘SrAlOx’’ buffer layers. A series of LaAlO3/SrTiO3 heterostructures were grown with increasing thickness of the SrAlOx interlayer by pulsed laser deposition. Although SrAlOx is not a perovskite, nor stable as a single phase in bulk, the non-equilibrium nature of the pulsed laser deposition method and epitaxial stabilization enable the maintenance of the perovskite structure for the first layers of deposition, as observed by reflection high-energy electron diffraction. For 1 and 2 unit cell (u.c.) thickness of the SrAlOx interlayer, the LaAlO3 film remains strained to the SrTiO3 substrate. At 3 u.c., however, the SrAlOx interlayer abruptly relieves the lattice mismatch between the LaAlO3 film and the SrTiO3 substrate, while maintaining crystalline coherence. At 4 u.c. and above, crystalline coherence is lost and subsequent deposition of LaAlO3 results in an amorphous film. Thus at the 3 u.c. critical thickness, the interlayer acts as a sliding buffer layer, and it may provide a general approach for strain relaxation of perovskite films far below the thermodynamic critical thickness.[1] D. G. Schlom et al., Annu. Rev. Mater. Res. 37, 589 (2007).
10:45 AM - N12.4
Scanning Tunnelling Microscopy-spectroscopy Study of the Electronic Reconstruction at the LaAlO3/SrTiO3 Interface.
Marco Salluzzo 1 , Zoran Ristic 1 , Roberto Di Capua 1 , Gabriella Maria De Luca 1 , Fabio Chiarella 1 , Vaglio Ruggero 1 , Christoph Richter 2 , Jochen Mannhart 2
1 COHERENTIA, CNR-INFM, Napoli Italy, 2 Experimental Physics VI, Center for Electronic Correlations and Magnetism, University of Augsburg, Augsburg Germany
Show AbstractThe discovery of a 2D conducting electron system at the TiO2/LaO n-type LaAlO3/SrTiO3 (LAO/STO) interface [1], has been the subject of intense experimental and theoretical investigations. Recently, second harmonic generation [2] and X-ray absorption spectroscopy [3] have shown that the realization of the electron gas is accompanied by an orbital reconstruction of the SrTiO3 layers close to the interface. The orbital reconstruction is demonstrated by the removal of the 3d orbital degeneracy and corresponding lowering of the titanium 3dxy states at the interface. However, these techniques were unable to establish the role of electron correlations. The latter are considered a key element by some theoretical predictions, as it happens in LDA+U models that foresee a 2x2 orbital and charge ordered checkerboard pattern [4]. Scanning tunneling microscopy/spectroscopy (STM/STS) is a powerful technique to investigate the electronic properties of materials at the nanoscale levels, and therefore is ideal to study the local density of states (LDOS) of a material. The use of STM/STS in the case of a metallic surface covered by an insulator (as in the LAO/STO) is challenging, due to the enhanced tunneling barrier. However, when tunneling to the interface is achieved, this technique is sensitive only to the topmost conducting interface layer. Here we report an interface selective, and spatial resolved, scanning tunneling spectroscopy study of both unoccupied as well as occupied density of states of 4 uc and 2 uc LAO/STO films obtained at room temperature and in ultra high vacuum. We show that an ordered superstructure appears at the conducting 4 uc interface. The superstructure is characterized by one dimensional periodic arrangement of 3 nm wide spots along (110) and (1-10) directions, realizing locally 6 nm x 8 nm unit cells. Spectroscopy maps, with nm resolution, suggests that the superstructure is due to the combination of electronic and structural reconstruction effects. By comparing our LDOS data with the LDA+U calculations and with the recent STS results (measured at 4 K) obtained by M. Breitschaft et al. [5], we conclude that similar features, associated to the unoccupied 3dxz and 3dyz states, but less pronounced, are consistently observed.
The whole data-set suggests that an ordered arrangement of the 3d orbitals is attained at the interface when it becomes conducting, a phenomenon typical of a strongly correlated system.
[1] A. Ohtomo & H. Y. Hwang, Nature 427, 423–426 (2004)
[2] A. Savoia et al. , Phys. Rev. B 80, 075110 (2009)
[3] M. Salluzzo et al, Phys. Rev. Lett. 102, 166804 (2009)
[4] R. Pentcheva1,2 and W. E. Pickett, Phys. Rev. B 74, 035112 (2006)
[5] M. Breitschaft et al. cond-mat.mtrl-sci arXiv:0907.1176v1
N13: Magnetic Oxides II
Session Chairs
Josep Fontcuberta
Hariharan Srikanth
Thursday PM, April 08, 2010
Room 2001 (Moscone West)
11:30 AM - **N13.1
Size Induced Tuning of Ground States in Nanostructured Oxides: A Case Study of Rare-earth Manganites.
Arup Raychaudhuri 1
1 , S.N.Bose National Centre for Basic Sciences, Kolkata India
Show AbstractIn this talk we are addressing a basic question whether size reduction can change qualitatively the magnetic ground sate of a complex material for example from antiferromagnetic order to ferromagnetic spin order. We show that such a phenomena can indeed occur in oxides which have competing interactions. The size reduction can change the strength of the competing interactions differently and can make on of them win over and thus can change the nature of the ground state.We investigate the phenomena specifically in rare-earth manganites. These materials form a fascinating class of multifunctional perovskite oxides with competing interactions that couple the charge, spin and the lattice. We find that interesting classes of phenomena arise when the size is taken to nanoscales where the ferromagnetism can actually be strengthened and the ground state can be tuned by the size. Depending on the carrier concentration, the size reduction can make the charge and orbitally ordered antiferromagnetic state turn to a charge and orbitally disordered ferromagnetic state and even the change the nature of the phase transition.In this talk we will present results of detailed physical and structural investigations on these materials using Transmission Electron Microscopy, Synchrotron X-rays , Neutron scattering in addition to magnetic and electronic transport measurements. We will also propose a simple model based on surface pressure that play significant role when the size is taken below 100nm.
12:00 PM - **N13.2
Faceted FeCo/Ferrite and FeNi/Ferrite Magnetic Nanoparticle-Polymer Nanocomposites and Magnetoelastic Applications.
Michael McHenry 1 , Katie McNerny 1 , Nicholas Jones 1 , Kelsey Miller 1 , Ashfaque Habib 1 , Raja Swaminathan 2
1 Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 , Intel Corporation, Chandler, Arizona, United States
Show AbstractMagnetomechanical response of nanocomposites is tied to magnetic nanoparticle (MNP) configurations in polymeric matrices. For example, MNP chaining can be used for shape changes in tissue engineering applications. Applications require oxide shells to be engineered and functionalized. This requires a detailed knowledge of: (a) synthesis of core-shell nanoparticles; (b) crystallographic facets of metallic nanoparticles; (c) orientation relationships between adherent oxide shells and metallic cores; (d) magnetic properties of the nanocomposites and (e) performance in magnetoelastic applications. This talk will address the fundamental structural and magnetic properties of FeCo/(Fe,Co)3O4 and FeNi/(Fe,Ni)3O4 core shell nanostructures. This work will address the equilibrium shapes of FeCo (and FeNi and Fe3O4) nanoparticles, the oxide phases formed and their orientation relationships with faceted MNPs, and engineering magnetic anisotropy by oxidation to influence the core/shell dimensions of particles. The ability to tailor the composite particle magnetic anisotropy and easy directions of magnetization by chemistry and controlled oxidation will be described. The functionalization of the oxide shells of the MNPs to synthesize stable ferrofluids will also be discussed. The potential importance of these materials in magnetoelastic applications will be illustrated for MNP/polymer nanocomposites.
12:30 PM - N13.3
Tuning the Magnetic Domain Structure of Highly Spin-polarized Complex Oxide Nanostructures.
Joanna Bettinger 1 2 , Rajesh Chopdekar 2 , Brooke Mesler 3 , Douglas Chain 2 , Andrew Doran 4 , Erik Anderson 3 , Andreas Scholl 4 , Yuri Suzuki 2
1 Stanford Synchrotron Radiation Laboratory, SLAC National Accelerator Laboratory, Menlo Park, California, United States, 2 Materials Science and Engineering, University of California, Berkeley, California, United States, 3 Center for X-ray Optics, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractIn order for magnetic materials to become technologically viable, it is important to be able to control and tune their magnetic domain structure. Complex oxide manganites, such as La0.7Sr0.3MnO3, have been extensively studied due to their high spin-polarization and Curie temperature which is well above room temperature. In this work, we use the technique developed by Takamura et al. [1] to pattern hexagonal nanostructures of varying lateral aspect ratio, size, and crystallographic orientation in epitaxial La0.7Sr0.3MnO3 thin films grown on both (001)- and (110)- oriented SrTiO3 substrates. These substrates give rise to significantly different symmetries in the magnetic anisotropy. The magnetic domain structure was examined using magnetic force microscopy and X-ray photoemission electron microscopy. These results were compared with simulations performed using the Object Oriented Micro-Magnetic Framework program. Epitaxial films grown on (001) SrTiO3 substrates exhibit a small fourfold magnetocrystalline anisotropy in the plane of the film. When patterned into nanostructures, the magnetic properties are dominated by the shape of the nanostructures, and rotation by 45° does not change the domain structure. Perfect hexagons (120° between the sidewalls) show flux closure domains with six distinct domains within each hexagon. Highly elongated hexagons, with a 30° angle between the sidewalls on the long ends, are single domain, whereas moderately elongated hexagons with a 60° angle between the sidewalls appear to be two-domain. In contrast, epitaxial films grown on (110) SrTiO3 substrates have uniaxial anisotropy in the plane of the film with a magnetically easy [0 0 1] direction and magnetically hard [1 -1 0] direction. When patterned into nanostructures, we observe the importance of this uniaxial stain anisotropy on the magnetic domain structure. Perfect hexagons (120° between the sidewalls) have two domains with the domains aligned close to the easy direction. Highly elongated hexagons with a 30° angle between the sidewalls on the long ends break up into many domains when the long axis is aligned along the hard direction, and are single domain when the long axis lies closer to the easy direction. Moderately elongated hexagons with a 60° angle between the sidewalls appear to be in an unstable state when the long axis is aligned along the hard direction, and are single domain when the long axis lies closer to the easy direction. Thus, by careful choice of nanostructure lateral aspect ratio, nanostructure orientation with respect to the crystalline axes, and substrate orientation, we are able to tune the magnetic domain structure of La0.7Sr0.3MnO3 nanostructures into either flux closure, single, two, or multi-domain configurations.[1] Y. Takamura et al., Nano Letters 6 (6), 1287 (2006).
12:45 PM - N13.4
Effects of Nanostructuring on Antiferromagnetism in LaFeO3 Thin Films.
Erik Folven 1 , Thomas Tybell 1 2 , Andreas Scholl 3 , Tony Young 3 , Scott Retterer 4 , Yayoi Takamura 5 , Jostein Grepstad 1
1 Department of Electronics and Telecommunications, Norwegian University of Science and Technology, Trondheim Norway, 2 Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 , Oak Ridge National Laboratories, Oak Ridge, Tennessee, United States, 5 Department of Chemical Engineering and Materials Science, University of California, Davis, Davis, California, United States
Show AbstractPerovskite oxides provide interesting model systems for fundamental studies of magnetism and magnetoelectric effects, as well as being attractive materials for novel magnetic device applications. The material properties of pulsed laser deposited thin films can be tailored through parameters such as strain, oxygen stoichiometry, and chemical substitution. LaFeO3 thin films were grown epitaxially on Nb-doped SrTiO3 substrates. Characterization by x-ray diffraction analysis and atomic force microscopy showed films with high crystalline quality and step-and-terrace surface structure. A novel patterning technique [1] using e-beam lithography and ion implantation was applied to define nanoscale islands, 200nm to 500 nm in diameter, with magnetic order embedded in a paramagnetic matrix. An important quality of this patterning technique is that the strain state is perturbed to a lesser extent than by more conventional patterning. The magnetic properties of the nano-islands were investigated by combining photoemission electron microscopy (PEEM) with x-ray magnetic linear dichroism (XMLD). In this presentation, we discuss how island size affects the stability of the antiferromagnetic order and domain structure.1 Y. Takamura, R. V. Chopdekar, A. Scholl, et al., Nano Letters 6, 1287 (2006)
N14: Oxides for Energy Technologies - Films and Heterostructures
Session Chairs
Coleman Kronawitter
Gunnar Westin
Thursday PM, April 08, 2010
Room 2001 (Moscone West)
2:30 PM - **N14.1
Pulsed Laser Deposition: A Versatile Method to Deposit Functional Oxide Thin Films.
Thomas Lippert 1
1 Research Department General Energy, Paul Scherrer Institut, Villigen Switzerland
Show AbstractPulsed laser deposition (PLD) is a versatile method to deposit thin films of a wide range of materials, i.e. ceramics, metals, and even polymers, with a high control over the thin film characteristics. In particular, oxides and complex materials are of high interest for PLD due to the large number of parameters which can be changed during the deposition to achieve the desired film growth and material properties. We are working on oxide films which are of interest for renewable energy applications, e.g. in batteries or fuel cells, but also on perovskites with special properties, e.g. multiferroics. Another area is new materials prepared by anionic substitution, e.g. oxynitrides. To deposit oxynitrides or to control the amount of particles in thin films, modified PLD set-ups are used such as a synchronized reactive gas pulse or a radio-frequency plasma beam. Applying NH3 in the gas pulse allows to deposit oxynitrides, e.g. SrTiO3-x:N or LaTiO3-x:N, with a controllable amount of incorporated nitrogen. This influences the film properties such as optical absorption. The deposition of dense thin ion conducting (solid electrolyte) films, e.g. Yttria-stabilized ZrO2, by PLD can be used to build micro solid oxide fuel cells (µ-SOFC) operating at relative low temperatures (≤ 500 °C). For the deposition of dense thin films of the solid electrolyte on a membrane a “soft” processing approach is necessary. Here, PLD is applied to deposit x-ray amorphous electrolyte thin films at room temperature, which crystallize at surprisingly low temperatures (~250 °C). As a result the strain in these YSZ film is considerably reduced which is important for the assembly of the fragile µ-SOFCs. The low crystallization temperature for the x-ray amorphous PLD films is especially remarkable as amorphous films prepared by sputtering require crystallization temperatures of > 800 °C. The µ-SOFC containing films deposited by PLD are functional and produce electricity at temperatures ≤ 500 °C. Li-metal oxides used as electrode materials in re-chargeable Li-ion batteries are one example where thin films deposited by PLD serve as model systems for fundamental electrochemical studies. These oxides show exemplary the limitations of PLD thereby emphasizing the need and importance of careful process control and material analysis. A congruent transfer of the Li manganite oxide seems to be impossible, i.e. all films are Li deficient and targets with an excess of Li must be applied. This is due to preferential resputtering of Li from the growing films by high kinetic energy species and/or scattering of lithium species in the plasma plume over a larger degree of angles than the manganese.
3:00 PM - **N14.2
Thin Oxide Films and Heterostructures for Application in SOFC’s.
John Kilner 1 , David McComb 1 , Stephen Skinner 1
1 Materials, Imperial College,London, London United Kingdom
Show AbstractSolid Oxide Fuel Cells (SOFC’s) are poised on the brink of large scale commercialisation, driven by the need for clean, efficient power generation to meet global targets for carbon emissions. Most research into oxide materials for SOFC applications is performed on bulk ceramic or thick film materials and this has proven to be adequate in the past, however interest in the use of thin films in Solid Oxide Fuel Cell (SOFC) research has undergone a dramatic change in the last few years because of the current focus on the possibility of enhanced oxygen ion transport in nanomaterials and nanostructures.Thin film materials or structures will be difficult to incorporate in the large scale industrial production of SOFC’s however at this stage they are a very useful tool for research. They allow the study of both bulk and interfacial properties that would be very difficult to obtain from bulk ceramic materials. One example is the use of epitaxial thin films whereby the anisotropy of oxygen transport can be measured using thin films in the layered perovskite related materials such as La2NiO4+δ, this technique can also be used for oxides that are difficult to prepare in a fully dense form (e.g. Ba0.5Sr0.5Co1-xFexO3). Most current interest is, however, directed towards the understanding of enhanced oxygen transport at heterointerfaces in structures such as thin multilayers of oxygen ion conductors and nominally insulating oxides.This contribution will focus on recent work at Imperial to elucidate oxygen transport in thin layers and thin heterostructures of ionic conductors (SDC/CeO2, YSZ,STO) and thin films of Mixed Electronic Ionic Conductors (MEIC’s) formed by laser ablation. Details will be given of measurements of conductivity, microstructure by HRTEM, composition by HREELS and SIMS, and oxygen transport using isotopic tracer techniques.
3:30 PM - N14.3
The Energetics of Oxide Multilayer Systems: SOFC Cathode and Electrolyte Materials.
Nihan Kemik 1 2 , Sergey Ushakov 2 , Nicole Schichtel 3 , Carsten Korte 3 , Yayoi Takamura 1 , Alexandra Navrotsky 1 2
1 Chemical Engineering and Material Science, UC Davis, Davis, California, United States, 2 Peter A. Rock Thermochemistry Laboratory and NEAT ORU, UC Davis, Davis, California, United States, 3 Pyhsikalisch Chemisches Institut, University of Giessen, Giessen Germany
Show AbstractComplex oxides are evoking a surge of scientific and technological interest due to the unexpected properties of their interfaces which have been shown to differ from the constituent materials. For example, metallic conductivity, magnetism, and superconductivity have been observed at the interface between two insulating and non-magnetic perovskite oxides; SrTiO3 and LaAlO3 [1, 2]. For applications such as solid oxide fuel cells (SOFC’s) which utilize multilayers at elevated temperatures, it is critical to know the relative stabilities of these interfaces since they directly influence the device performance. In this work, we explore the energetics of two oxide multilayer systems using high temperature oxide melt solution calorimetry and differential scanning calorimetry (DSC). High temperature solution calorimetry is a powerful tool in determining the relative stability of different structures as the surface area changes. For example, it has been shown that γ-Al2O3 is stabilized relative to α-Al2O3[3] , tetragonal and cubic relative to monoclinic ZrO2 [4] at the nanoscale. DSC is a promising technique in the study of thin film reactions and in determining the interface energy[5]. A key challenge with thin film measurements is to differentiate the signal of the thin film from the much larger substrate. We may improve the signal to noise ratio by removing the substrate or by increasing the number of interfaces in the system. We report on results for two materials systems relevant for the cathode and the electrolytes of SOFC’s. For cathode materials, we are investigating the family of perovskites,La0.7Sr0.3MO3, where M=Fe and Mn due to the ability to tune their properties through chemical and structural parameters and their capability to meet the mechanical, electrical, electrochemical, and chemical stability requirements associated with the high temperature operation of SOFC’s. For electrolyte materials, we are studying the YSZ (yttria stabilized zirconia) /Al2O3 (electrolyte materials) multilayer system. For the related system of Ca-stabilized zirconia (CSZ) and Al2O3 multilayers, it has been shown that the conductivity increases by a factor of 60 when the thickness of individual CSZ layers decreases from 780 nm to 40 nm due to the effect of the interfaces [6]. Here we show how the presence of interfaces affects the phase stabilities. The fundamental understanding of the phase stabilities combined with the information about interfacial properties of thin films is essential in materials selection for components for SOFC’s.[1]A. Brinkman et al., Nature Materials 6(7), 493 (2007).[2]A. Ohtomo, and H. Y. Hwang, Nature 441(7089), 120 (2006). [3]J. M. McHale et al., Science 277(5327), 788 (1997). [4]M. W. Pitcher et al., Journal of the American Ceramic Society 88(1), 160 (2005). [5]C. Michaelsen, K. Barmak, and T. H. Weihs, Journal of Physics D: Applied Physics 30(23), 3167 (1997). [6]A. Peters et al., Solid State Ionics 178(1-2), 67 (2007).
3:45 PM - N14.4
Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial (La,Sr)CoO3 Films for Solid Oxide Fuel Cells.
SungJin Ahn 1 , Gerardo Jose la O' 1 , Ethan Crumlin 1 , Biegalski Michael 2 , Christen Hans 2 , Yang Shao-Horn 1
1 , MIT, Cambridge, Massachusetts, United States, 2 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractReduction of operating temperatures is of vital importance to shorten start-up time and reduce degradation of components in solid oxide fuel cells (SOFCs). Decreasing the operating temperature, however, reduces the SOFC conversion efficiency since the kinetics of electrochemical reactions, especially the oxygen reduction reaction (ORR), are thermally activated. The lack of fundamental understanding of the ORR mechanism at the molecular level limits the design of new cathode materials with enhanced activity at intermediate temperatures. In this study, we show that strains in epitaxial oxide thin films can enhance the ORR activity. This enhancement was demonstrated using (001)-oriented La0.8Sr0.2CoO3-δ and La0.6Sr0.4CoO3-δ (LSC) films. Both films were epitaxially grown on 9.5 mol% Y2O3-stabilized ZrO2 (YSZ) (001) single-crystals, with an epitaxial buffer layer of 20 mol% Gd-doped CeO2 (GDC) using pulsed-laser deposition. The thicknesses of these films are varied from 10 nm to 300 nm, with exhibiting different strains. Stoichiometric surface and film compositions of the LSC films were verified using Rutherford backscattering spectroscopy and Auger electron spectroscopy. Crystallinity, epitaxial relationships, and strains of LSC/GDC/YSZ (001) films were analyzed using 4-circle X-ray diffraction. ORR activity of the films was investigated using electrochemical impedance spectroscopy at 520°C under varying oxygen partial pressures between 10-4 atm to 1 atm. The epitaxial LSC surfaces exhibit markedly increased ORR activity up to two orders of magnitude in comparison to bulk, which may be attributed to increased oxygen vacancy concentrations in the films.
N16: Poster Session: Oxides for Energy Technologies
Session Chairs
Joanna Bettinger
Heli Wang
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - N16.1
Co3O4 Nanowires Arrays: Synthesis, Growth Mechanism and Energy Applications.
Yanguang Li 1 , Yiying Wu 1
1 Chemistry, The Ohio State University, Columbus, Ohio, United States
Show AbstractCo3O4 is an attractive multifunctional material for various applications in low-temperature catalysis, chemical sensing and lithium ion batteries. Nanostructured Co3O4 is particularly interesting due to its high surface area, short Li+ diffusion length and enhanced electrochemical reactivity. Here we present a facile and template-free method for the large-area growth of free-standing Co3O4 nanowire arrays in solution. They are hollow in the center and highly mesoporous. Surprisingly, the whole structure is single-crystalline. We carefully studied the growth mechanism of the nanowire arrays, and discovered that they were from topotactic oxidation conversion of intermediate Co(OH)2 nanowires through the Kirkendall effect. More interestingly, we identified that axial screw dislocation played a critical role in the growth of intermediate brucite Co(OH)2 crystals. Self-perpetuating spiral basal steps from the screw dislocation provide active sites for continuous adsorption of incoming molecules, altering the growth habit of brucite crystals from normal nanoplates (suppression in c-axis) to nanowires (elongation along c-axis). The direct use of Co3O4 nanowire arrays as the anode for high-power lithium ion batteries was also demonstrated. Compared to commercial nanopowders and unsupported Co3O4 nanowires, they showed significantly improved reversible capacity, better cycleability and superior capacity retention at charge/discharge rates as high as 50C. In addition, we investigated the electrocatalytic properties of these nanowire arrays for the oxygen evolution reaction. We demonstrated, by Ni-doping, Co3O4 nanowires exhibited enhanced electrocatalytic activities.
9:00 PM - N16.10
3D-hierarchical Assembly of ZnO Nanofiber and Nanorod for High Efficiency Dye-sensitized Solar Cells.
Yong-Min Jeong 1 , Hyun-Uk Lee 1 , Chae Ryong Cho 1 , Jong-Seong Bae 2 , Mi-Sook Won 2
1 Department of Nano Fusion Technology , Pusan National University, Miryang Korea (the Republic of), 2 Busan Center, Korea Basic Science Institute, Busan Korea (the Republic of)
Show AbstractRecently increasing interests are being paid to synthesis of highly arranged ZnO NRs array and their integration into DSSC replacing the nanoparticle structure. Many researchers have been reported the experimental results related to the adaption of 1-D and 2-D ZnO nanostructure to enhance surface active site (specific surface area). In this study, a hierarchical assembly of hybrid ZnO-nanofiber(NF) and nanorod(NR) for Dye-Sensitized Solar Cells(DSSC) applications has been successfully fabricated. 3D-hierarchical assembly of ZnO- NFs and NRs has more large surface area than ZnO thin film layer. The dye-layer covered on hierarchical assembly ZnO was more effective to increase the reaction rate. NFs were deposited on ZnO/glass and FTO/glass substrate by using electrospinning process and heat-treated to form crystalline phase. After then, ZnO NRs were grown on ZnO NFs and ZnO thin seed layer by using low temperature solution growth, respectively. Various types of ZnO (e.g., NFs/FTO, NRs/FTO, Hierarchical assembly (NRs/NFs)/FTO, NRs/ZnO thin film/FTO, NFs/ZnO thin film/FTO, and Hierarchical assembly (NR/NF)/ZnO thin film/FTO) were fabricated and analyzed, and the physical properties according to the thickness and length of ZnO NFs and NRs were discussed. The structure and surface morphology of ZnO were measured by x-ray diffraction (XRD) and field-emission scanning electron microscopy (FE-SEM), respectively. All ZnO nano-structures were well-crystallized and each ZnO NF and NR was poly-crystal and single crystal, respectively. The thickness of ZnO NF was about 50-300nm and the length of ZnO NR was about 100-1000nm. The electrical and optical property of solar cell efficiency were measured by I-V curve measurement, Hall measurement, solar simulator and UV- VIS-NIR spectroscopy to compare with various ZnO structures. The experimental results indicate that the addition of Hierarchical assembly of ZnO NFs and NRs can improve charge carrier transfer, decrease recombination, enhance Voc and increase the solar cell efficient.
9:00 PM - N16.11
Photocatalytic Properties of Perovskite Thin Films by RF Magnetron Sputtering Deposition.
Pin-Yan Chen 1
1 Earth Sciences, National Cheng Kung University, Tainan Taiwan
Show AbstractPhotocatalytic properties of perovskite thin films by RF magnetron sputtering deposition Yen-Hua Chen*and Pin-Yan ChenDepartment of Earth Sciences, National Cheng-Kung University, Tainan, TaiwanVery recently, the environmental pollution is more and more serious, such as water-pollution, air-pollution and so on. It is very important for researchers to find out the solution to the problem. SrTiO3, one of the important photocatalysts, has been used for water splitting and mineralization of organic pollutants. In this study, SrTiO3 thin film was deposited on Si (100) substrates by using RF magnetron sputtering. We want to investigate the phtocatalysis on organic dyes and the photocatalytic water splitting by SrTiO3 thin films. Moreover, we expect to fabricate different element doping in the SrTiO3 thin film to enhance photocatalytic activity. After that, we will discuss the preferred orientation and the doping effect of the films dependent on the properties of photocatalysis.
9:00 PM - N16.12
Work Function Effect of ZnO Nanorods as Catalyst Support Material for Oxidation of Methanol.
Jun Young Oh 1 , Seung Hyun Jee 1 , Su Hyun Kim 1 , Nitul Kakati 1 , Young Soo Yoon 1
1 Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of)
Show AbstractMost of anode catalysts for direct methanol fuel cell (DMFC) including polymer electrolyte membrane (PEM) fuel cell are deposited on porous support materials to minimize precious metal loading and maximize utilization. The support materials are necessary to be easy to product and have low cost, high specific surface area, conductivity, stability and carbon black, carbon nanotube, SnO2 have been investigated so far. We suggest ZnO nanorod as new candidate for support material due to ease to produce, high specific surface area and conductivity. ZnO also has peculiar properties of high work function, thermal stability, oxidation resistivity, synergistic effect with Pt catalytic activity to methanol oxidation as compared to CNT. In this study, Al-doped ZnO nanorods were successfully fabricated easily by hydrothermal method and Pt-Ru alloy nanoparticles were deposited on Al-doped ZnO nanorods.Al-doped ZnO nanorod samples with different Al/Zn molar ratio were fabricated. ZnO nanorods were prepared following steps. Zn(NO3)2.6H2O and Al(NO3)3 were dissolved in distilled water and 5M NaOH aqueous solution was slowly dripped into the former solution under magnetic stirring. The mixture was under hydrothermal condition at 433K for 14 h in an autoclave. The resulting solution was filtered and washed with distilled water and ethanol, then dried at 353K for 10 h in a vacuum oven. To optain Pt-Ru/Al-doped ZnO nanorods, H2PtCl6.H2O, RuCl3 aqueous solution, ethylene glycol and as-synthesized ZnO nanorods were mixed. After that, solution was sonicated and magnetic stirred and under hydrothermal condition at 423K for 5 h in an autoclave. The resulting solution was filtered and washed with distilled water and acetone, then dried at 353K for 24 h in an oven.To characterize properties of Pt-Ru/Al-doped ZnO nanorods, X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), Rutherford Backscattering Spectroscopy (RBS), Kelvin probe and electrochemical measurement were carried out.The average size of Al-doped ZnO nanorods was 50~100nm in diameter, 1~3μm in length. Pt, Ru atoms formed alloy particles with 3nm on Al-doped ZnO nanorods. Work functions of Al-doped ZnO nanorod samples with different Al/Zn molar ratio were 4.5~5.4eV [1]. The work function of platinum with high catalytic activity is higher than other transition metals. The work function had a correlation with high catalytic activity [2]. As Pt-Ru/Al-doped ZnO nanorods had higher work function, it showed higher activity for oxidation of methanol. However Pt-Ru/Al-undoped ZnO nanorods with highest work function had low activity because of low conductivity. In this study, optimal value between work function and catalytic activity would be obtained.[1] C. X. Xu, X. W. Sun, and B. J. Chen Appl. Phys. Lett. 84 (2004) 9[2] J.H. Kim et al. Electrochimica Acta 52 (2007) 2492–2497
9:00 PM - N16.13
Innovative Tridimentional Architecture for Highly Efficient Photolysis.
Jeremie Brillet 1 , Nicolas Tetreault 1 , Kevin Sivula 1 , Geoffrey Ozin 2 , Graetzel Michael 1
1 Laboratory of Photonics and Interfaces, EPFL, Lausanne Switzerland, 2 Chemistry Department, University of Toronto, Toronto, Ontario, Canada
Show AbstractDirect splitting of water by a photoelectrochemical (PEC) tandem cell provides a means to convert energy from our most abundant renewable source, the Sun, into dihydrogen, which could then be employed as an energy vector in a carbon-neutral market [1]. Hematite (α-Fe2O3) has a well-suited band gap of 2.1 eV, is extremely abundant and is stable in aqueous environments making it a good candidate as a photoanode material. As a drawback, hematite has a very short hole diffusion length (2 – 4 nm) [2] as compared to the light penetration depth (α–1 = 118 nm at λ = 550 nm) [3]. This causes most photons to be absorbed in the bulk far from the SCLJ, creating photogenerated holes with low probability of participating in water oxidation. The resulting poor external quantum efficiency (EQE) close to the band edge has been identified to be one of the major limitations in context of a tandem device [4]. To answer this problem, we present here progress towards an innovative three-dimensional hematite nanostructure that simultaneously allows the carrier generation close to the surface and amplifies the harvesting of photons. This nanostructured anode is fabricated by templating, where a bottom-up made opal is infiltrated by different methods with the metal-oxide before being removed, resulting in a photoactive hematite inverse opal. The fine tuning of dimensions results in slow photons in the desired region of the spectrum, enhancing the EQE of the overall device.[1] J. A. Turner, Science 2004, 305, 972.[2] J. H. Kennedy, K. W. Frese, J. Electrochem. Soc. 1978, 125, 709.[3] I. Balberg, H.L. Pinch, J. of Magn. Mater. 1978, 7, 12.[4] J. Brillet, M. Cornuz, F. Le Formal, J.H. Yum, M. Grätzel, K. Sivula, J. Mat. Res. 2010, 25, in press.
9:00 PM - N16.14
Novel Heterostructures of Li-[Mn1/3Co1/3Ni1/3]O2/MnO2 With Controlled Electrochemical Performance.
Kyung Min Lee 1 2 , Tae Woo Kim 1 , Myong A Woo 1 2 , Min-Sun Song 1 2 , Seong-Ju Hwang 1 2
1 Center for Intelligent Nano-Bio Materials (CINBM), Ewha Womans University, Seoul Korea (the Republic of), 2 Department of Chemisty and Nano Sciences, Ewha Womans University, Seoul Korea (the Republic of)
Show AbstractNovel heterostructured materials composed of [Mn1/3Co1/3Ni1/3]O2and/or MnO2 nanosheets were synthesized by restacking of the exfoliated metal oxide nanosheets with Li cations. The exfoliation of layered [Mn1/3Co1/3Ni1/3]O2 or layered MnO2 was induced by the intercalation of quaternary ammonium ions into protonated metal oxides. The maintenance of hexagonal layered structure after the exfoliation was confirmed by transmission electron microscopy-electron diffraction (TEM-ED) analysis. Restacking between Li+ ions and [Mn1/3Co1/3Ni1/3]O2 or MnO2 nanosheets was achieved by electrostatic attraction between two oppositely charged species. X-ray diffraction analyses clearly showed that Li-[Mn1/3Co1/3Ni1/3]O2/MnO2 composites have lamellar structure with a basal spacing of ~7 Å, indicating the intercalation of lithium ions into the layered metal oxide. TEM-ED analysis for these materials underscored the maintenance of the hexagonal atomic arrangement of metal oxide layers. These composite materials were applied as cathode materials for lithium ion batteries, showing their functionality as lithium intercalation electrode. Their electrochemical activity can be tuned by changing the ratio of [Mn1/3Co1/3Ni1/3]O2 over MnO2.
9:00 PM - N16.15
Structural and Thermoelectric Characterization of Perovskite-type La1-xSrxCoO3 Prepared by Di- and Polyol-metal Nitrate Polymerized Complex Method.
Robert Kun 1 , Dirk Lehmhus 1 , Matthias Busse 1 2
1 , ISIS Sensorial Materials Scientific Centre, Bremen Germany, 2 , Fraunhofer IFAM, Bremen Germany
Show AbstractAuto-combustion di- and polyol-metal nitrate polymerized-gel method was used to prepare Sr-doped LaCoO3 perovskite-type thermoelectric materials. The influence of the microstructure of the polycrystalline samples on the thermoelectric behavior was demonstrated. The samples were characterized by X-ray diffraction (XRD), thermoanalytical methods (TG/DTA/DSC) and electron microscopy (SEM/TEM). Surface area and porosity analyses were performed by nitrogen adsorption (BET) method. Thermal diffusivity and electric conductivity measurement were also applied. The XRD results showed that in the course of the synthesis pure perovskite rhombohedral phase LaCoO3 was formed without phase impurities. Due to the atomic level mixing of the starting materials (i.e. metal nitrates and additives) the microstructure as well as the electrical properties of the obtained oxides could be influenced. Thus varying the type of the polyol-modifier, metal ion or polyol/citrate ratio and Sr-content pure perovskite phase p-type cobalt oxide thermoelectric oxides can be obtained. Preparation of these substances is aimed at providing the basic materials for realizing small-scale thermogenerators for energy-independent sensor networks.
9:00 PM - N16.17
Anisotropic Oxygen Diffusion in RBa1-xSrxCo2O5+δ (R = Gd, Sm, Pr) Layered Cobalites.
David Parfitt 1 , Alexander Chroneos 1 , Albert Tarancon 2 3 , John Kilner 1 , Robin Grimes 1
1 Materials, Imperial College London, London United Kingdom, 2 Department of Micro and Nanosytems, National Center of Microelectronics, Campus Universitat Autónoma Barcelona, Barcelona Spain, 3 Department of Advanced Materials for Energy Applications, Catalonia Institute for Energy Research (IREC), Barcelona Spain
Show AbstractLayered cobalites of the composition RBa1-xSrxCo2O5+δ are a very promising family of compounds for intermediate temperature solid oxide fuel cell applications. In the present work we use molecular dynamics simulations to explore the effect of (a) order and disorder of the cation sub-lattice, (b) cation composition and in particular the substitution of Ba with Sr, (c) different compositions (i.e. R = Gd, Sm, Pr), and (d) oxygen stoichiometry on the dynamics of the oxygen sub-lattice. We predict for the pure materials a highly anisotropic oxygen transport mechanism along the a-b plane; materials containing significant levels of cation inversion however demonstrate increasingly isotropic diffusion. Theeffect of the previously mentioned variables on the energetics of oxygen diffusion is discussed in detail.
9:00 PM - N16.18
Silicon-based Micro Platforms for Characterization of Nanostructured Layers With Application in Intermediate Temperature Micro Solid Oxide Fuel Cells.
Inigo Garbayo 1 , Albert Tarancon 2 1 , Jose Santiso 3 , Andrea Cavallaro 3 , Isabel Gracia 1 , Carles Cane 1 , Neus Sabate 1
1 , CNM-IMB (CSIC), National Institute of Microelectronics, CSIC, Bellaterra, Barcelona, Spain, 2 Department of Advanced Materials for Energy Applications, Catalonia Institute for Energy Research (IREC), Barcelona, Barcelona, Spain, 3 , CIN2, CSIC/ICN, Research Center in Nanoscience and Nanotechnology, CSIC, Bellaterra, Barcelona, Spain
Show AbstractRecent developments in the fabrication of micro solid oxide fuel cells (SOFCs) based on self-supported membranes have demonstrated the potential use of these devices in the low power regime of about 1-5W at moderate temperatures of 450-550°C [1, 2]. However, limitations in the development of these devices are still present because of both thermomechanical stability and electrochemical performance issues. The presence of thin films and membranes in these devices make difficult their characterization and optimization. Measurement platforms based on microtechnologies can be helpful for evaluating particular features, e.g. across membrane conductivity. In this work, we have designed and fabricated micro-platforms to evaluate the mechanical and electrical properties of self-supported membranes of an ionic conductor. As a particular example, we have studied the compatibility of typical MEMS technology processes (dry and wet etching, nanolithography processes…) with a typical electrolyte material for SOFCs- yttria stabilized zirconia (YSZ)- deposited by Pulsed Laser Deposition (PLD). Using the here fabricated microplatform, we were able to characterize the electrical and thermomechanical properties of thin films of YSZ in the high range of temperatures (T=400-700°C). In addition, we fabricated special microplatforms with embedded heater for characterizing materials in real operation conditions for micro-SOFCs. Finally, some general guidelines for designing and fabricating microplatforms for thin film characterization will be also discussed. [1] H. Huang, M. Nakamura, P. Su, R. Fasching, Y. Saito, F.B. Prinz, “High-Performance Ultrathin Solid Oxide Fuel Cells for Low-Temperature Operation”, J. Electrochem. Soc. 154, 20-24 (2007).[2] A. Evans, A. Bieberle-Hütter, J. L.M. Rupp, L.J. Gauckler, “Review on microfabricated micro-solid oxide fuel cell membranes”, J. Power Sources 194, 119-129 (2009).
9:00 PM - N16.2
Vertically Aligned Nanocomposite Thin Films as a Cathode-electrolyte Interface Layer for Thin Film Solid Oxide Fuel Cells.
Sungmee Cho 1 , Jongsik Yoon 1 , Jung-Hyun Kim 3 , Joon Hwan Lee 1 , Zhenxing Bi 1 , Adriana Serquis 4 , Xinghang Zhang 2 , Arumugam Manthiram 3 , Haiyan Wang 1
1 Electrical & Computer Engineering, Texas A&M Univ, College Station, Texas, United States, 3 Electrochemical Energy Laboratory and Materials Science and Engineering Program, University of Texas at Austin, Austin, Texas, United States, 4 Caracterización de Materiales, Instituto Balseiro - Centro Atómico Bariloche, Rio Negro Argentina, 2 Mechanical Engineering, Texas A&M University, College Station, Texas, United States
Show Abstract A thin layer of a vertically-aligned nanocomposite (VAN) structure was deposited between the electrolyte, Ce0.9Gd0.1O1.95 (CGO), and the thin film cathode layer, La0.5Sr0.5CoO3 (LSCO), of a thin film solid oxide fuel cell (TFSOFC). The self-assembled VAN nanostructure contains highly ordered alternating vertical columns of CGO and LSCO formed through a one-step thin film deposition process using pulsed laser deposition (PLD). The VAN structure significantly improves the overall performance of the TFSOFC by increasing the interfacial area between the electrolyte and the cathode. Low cathode polarization resistances of 9 x 10-4 Ω and 2.39 Ω were measured for the cells with the VAN interlayer, respectively, at 600 and 400 oC. Furthermore, anode-supported single cells with LSCO/CGO VAN interlayer demonstrate maximum power densities of 329, 546, 718, and 812 mWcm-2 at 550, 600, 650, and 700 oC, respectively, with an open circuit voltage (OCV) of 1.13 V at 550 °C. The cells with the interlayer triple the overall power output at 650°C compared to that achieved with the cells without interlayer. The binary VAN interlayer could also act as a transition layer that improves adhesion and relieves both thermal stress and lattice strain between the cathode and the electrolyte.
9:00 PM - N16.20
Study of Defects at the Nanometric Scale in Ca3Co4O9 Thin Films.
Reda Moubah 1 , Silviu Colis 1 , Gilles Versini 1 , Sophie Barre 1 , Marc Drillon 1 , Corinne Ulhaq-Bouillet 1 , Aziz Dinia 1
1 , IPCMS, Strasbourg France
Show AbstractThe misfit layered cobaltite Ca3Co4O9 has attracted a lot of interest in recent years owing to its particular properties: a huge Seebeck coefficient (above 125 µV/K at 300 K) with potential applications in heat conversion into electric power, a low resistivity and several novel and anisotropic electrical and magnetic properties related to its particular crystal structure. The properties of Ca3Co4O9 thin films were already investigated by several groups and were found to present significant differencies with respect to those of the bulk material. Some of them were supposed to be related to the existence of structural defects. Such defects have been attributed to parasitics phases but their nature and origin have been not established. The aim of the present study is to identify and characterize these parasitic phases observed in Ca3Co4O9 thin films and discuss their possible origin. For this purpouse Ca3Co4O9 thin films have been grown directly on c-cut sapphire substrates using the pulsed laser deposition technique. X-ray diffraction suggests that the deposited films are free of parasitic phases and that they present the expected monoclinic structure. The films have a well defind texture, the (00l) planes being parallel to the substrate surface. However, cross section scanning high resolution transmission electron microscopy observations allows identifying regions with different kinds of stacking which present different chemical compositions from that expected for Ca3Co4O9. The local chemical analysis shows that these defects correspond to the formation of the CaCo2O4 spurious phase which has a similar structure and close lattice parameters with those of Ca3Co4O9. The origin of the formation of such spurious phase is discussed in terms of i) strains due to the substrate which tend to suppress the incommensurability of the system, and ii) local chemical non-stoichiometry.
9:00 PM - N16.21
Microstructural Study of Hematite Thin Films Used for Water Photooxidation by Solar Irradiation.
Bruno Henrique de Lima 1 3 , Flavio Souza 2 , Edson Leite 3 1 , Elson Longo 4 3
1 Materials Engineering, Universidade Federal de São Carlos, São Carlos, São Paulo, Brazil, 3 Chemistry/CMDMC-LIEC, Universidade Federal de São Carlos, São Carlos, São Paulo, Brazil, 2 CCNH, Universidade Federal do ABC, Santo André, São Paulo, Brazil, 4 Chemistry Institute, Universidade Estadual Paulista, Araraquara, São Paulo, Brazil
Show AbstractWater photooxidation over semiconductors is an effective method for converting solar energy into clean and renewable hydrogen fuel. Hematite (α-Fe2O3) thin films photoanodes has been presented as a promising material for water splitting due to its abundance, stability, environmental compatibility and band gap energy. In this work we study the microstructure of multi-layer hematite thin films and the relationship between microstructure and photoelectrochemical properties. The films were produced by spin-coating deposition (Spin coater Chemat Tech., model KB-4B) of a Fe3+ solution prepared by metallic citrate polymerization using ethylene glycol using ethanol as solvent [Souza, F. L., Solar Energy Materials & Solar Cells 93 (2009) 362-368] . Films doped with Si, using Tetraethylortosilicate in the solution, were also prepared. The films characterization was made by x-ray diffraction (Rigaku D/MAX 200, Cu Kα), SEM (FE-SEM, Zeiss, Supra 35), spectroscopy (Cary 5E UV/VIS/NIR spectrophotometer) and the photoelectrochemical performance was measured using a standard three-electrode photoelectrochemical cell fitted with a quartz window, the three electrodes were the working electrode (the undoped and Si-doped hematite film), a platinum-wire counter electrode, and Ag/AgCl in KCl satured solution as reference electrode. The x-rays diffraction had shown that the number of layers, one or four, and the percentage of Si had influence on the orientation degree and crystallite size (confirmed by SEM images). The photocurrent curves had shown that a high orientation on planes (100), planes of higher conduction on hematite, produce a better current response, up to 0,65 mA at 0,6 eV potencial. The maximum photocurrent value reported in the literature is 2.3 mA/cm2 at 0.23 eV potencial [Gratzel, 2006 J. Am. Chem. Soc. 128 15714 – 15721].
9:00 PM - N16.22
Semiconducting Metal Oxide as Blocking Layer Material on DSSC Electrode: Mechanism and Application.
Min Hye Kim 1 , Young-Uk Kwon 2
1 Center for Human Interface Nano Technology, Sungkyunkwan University, Suwon Korea (the Republic of), 2 Department of chemistry, BK-21 School of Chemical Material Science, SKKU Advanced Institute of Nanotechnology,Center for Human Interface Nano Technology, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractIn general, during the operation of dye-sensitized solar cells (DSSCs), three kinds of recombination reactions, detrimental to the overall conversion efficiencies of DSSCs, occurred; (1) the relaxation of the excited electrons to the ground state of sensitizers, (2) the reaction of the excited electrons and the electrolyte and (3) the reaction between the injected electrons into the metal oxide layer and I3- in the electrolyte. The first two reactions are known to be negligible because their reaction rates are relatively slow compared to that of the injection of excited electrons into the metal oxide layer. However, reaction (3) is a fast process and is considered as a one of the major factors reducing the efficiency of the DSSCs.Surface modification of the electrode material with other metal oxide has been suggested as a method to prevent the reaction (3). The operating principles of such a blocking layer have been taken to limit the blocking layer materials with a higher conduction band edges than that of electrode material and insulating properties. However, previously, we showed that semiconducting CdO can be used as a blocking layer material for SnO2 despite of its semiconductor properties and lower conduction band edge than that of SnO2.We explained this as a result from the basicity and the band gap widening of CdO. To further confirm this concept, we have fabricated DSSCs with SnO2 nanoparticles coated with thin layers of various semiconducting metal oxides such as CdO, NiO. CuO and Sb2O5, and studied their effects on the DSSC performance. We expected that the isoelectric point of blocking layer material is more important than the position of conduction band edge or the insulating property, and that the efficiencies will be increased with the increase of the basicity of the blocking layer material. As expected, the overall conversion efficiencies of DSSCs are increased when the basic metal oxides are used as the blocking layer regardless of their bulk properties with some exceptions. The influences of the each metal oxide shells were studied by X-ray diffraction, scanning electron microscopy, UV-Vis diffuse reflectance spectroscopy, electrochemical measurements and X-ray photoelectron spectroscopy. In addition the amount of dye adsorbed on the electrode is also determined by UV-Vis spectroscopy.
9:00 PM - N16.23
Efficient Formation of Ordered Titanium Dioxide Nanotube Arrays Over Helical Coils for Photocatalytic Applications.
Vance Jaeger 1 , Ravi Subramanian 1
1 Department of Chemical and Metalurigical Engineering, University of Nevada - Reno, Reno, Nevada, United States
Show AbstractOrdered titanium dioxide nanotube arrays can be formed by anodization over titanium of different geometries [1]. These nanotube arrays have been shown to be effective as photocatalysts for applications such as photodegradation of model pollutant dyes as well as for solar energy conversion. In environmental remediation, the photocatalytic degradation of only a few gas phase pollutants has so far been studied using nanotubes. This study has two goals. The first goal is to make the anodization process more efficient in terms of material use by reducing the amount of electrolyte used and by increasing the catalyst surface area per mass of titanium while preserving the photoactivity of the catalyst. The second goal is to demonstrate the effectiveness of the TiO2 nanotubes as a photocatalyst for a gas phase pollutant. The photoactivity of the coils was shown to be similar to both wires and plates anodized in much larger volumes of solution. The helical configuration was used to facilitate the use of the catalyst in plug flow reactors that can be externally illuminated rather than using an annular reactor. 2,3-Butanedione was chosen as a test gas phase pollutant. This compound has been used as a pollutant because it is a common gas phase pollutant in packaged food industries. Chronic exposure to the chemical has been linked to irreversible lung damage, and regulatory commissions have considered laws for the maximum exposure levels for workers [2]. The kinetics of the degradation will be presented. Literature: [1] Improved Photocatalytic Degradation of Textile Dye Using Titanium Dioxide Nanotubes Formed Over Titanium Wires. Archana Kar, York R. Smith, Vaidyanathan (Ravi) Subramanian Environmental Science & Technology 2009 43 (9), 3260-3265. [2] Occupational Exposure to Diacetyl and Food Flavorings Containing Diacetyl. ACTION: Advance Notice of Proposed Rulemaking; WITHDRAWAL. Federal Register: March 17, 2009 (Volume 74, Number 50). Page 11329-11330.
9:00 PM - N16.24
Growth and Photoresponse Characteristics of PdO Nanostructures on SiO2 by Reactive Sputter Deposition.
Fu-Ming Pan 1 , Chien-Jung Huang 1 , I-Chin Chang 1
1 , National Chiao Tung University, Hsinchu Taiwan
Show AbstractPalladium oxide (PdO) is a p-type semiconductor and thermally stable up to ~800oC. The oxide is of great importance in many technological applications because of various special chemical, optical and electrical properties. The study prepared PdO nanostructures on the SiO2 substrate by reactive sputter deposition and examined photoresponse characteristics of the thin film. The PdO thin film deposited at temperatures below 250oC is composed of interconnected bowed nanoflakes standing on the SiO2 substrate, which are in single-crystal form after thermal anneal at 400oC. The nanoflake has a width of ~15-20 nm, a length of ~180-225 nm and a height of ~200 nm after thermal anneal at 400oC. On the other hand, polycrystalline PdO nanorods with a rod diameter of ~7 nm and a height of ~25 nm are grown on SiO2 at 400oC. The bandgap energy of the 400oC-annealed PdO nanoflake thin films is ~2.06 eV according to diffuse reflection absorption spectroscopy. The photoresponse performance greatly depends on the crystallinity of the PdO thin films. The single-crystalline 400oC-annealed nanoflake thin film has a very sensitive photoresponse upon the UV (365 nm) illumination, while the polycrystalline nanorod thin film exhibits apparent transient photoresponse. For the 400oC-annealed PdO thin film, the photocurrent density promptly reaches the maximum value of 145 μA/cm2 upon the UV illumination. The high photoresponse sensitivity of the 400oC-annealed nanoflake thin film is ascribed to a lower density of recombination centers and traps due to the well crystallinity of nanoflakes and the high carrier extraction efficiency due to a low electrical resistivity and the high surface-to-volume ratio. A very slight decrease in the photocurrent density before a steady state is reached under the UV illumination is ascribed to adsorption of O2- anion on the 400oC-annealed nanoflake. When measured in the air ambient, the photocurrent signal of the 400oC-deposited nanorod thin film shows vigorous noises, but, when measured in vacuum, the noise can be effectively removed. The noise probably results from water adsorption in the dense nanorods.
9:00 PM - N16.25
Low-temperature Reduction and Oxidation of SrCoO2.5 Thin Films.
Noriya Ichikawa 1 , Kazuya Matsumoto 1 , Masanori Kawai 1 , Christophe Calers 1 , Monika Iwanowska 1 , Yuichi Shimakawa 1
1 Institute for Chemical Research, Kyoto University, Uji, Kyoto, Japan
Show AbstractDue to multivalence features of transition metal cations, various oxygen deficient perovskite-structure oxides can be formed. Topotactic reactions against perovskite-structure materials can directly tune the oxygen content, and in some cases produce unusual orders of oxygen ions. Reductions with CaH2, indeed, produced infinite-layer structures with square planer Fe2+O2 and Ni+O2 planes from SrFeO3 [1,2] and LaNiO3 [3], respectively. A Co-containing brownmillerite SrCoO2.5 is an oxygen-deficient perovskite [4]. We prepared SrCoO2.5 thin films by pulsed laser deposition, and attempted to change the oxygen contents by both reductions and oxidations at low temperatures. In contrast to SrFeO2 and LaNiO2, an infinite-layer structure oxide SrCoO2 was not obtained by the reduction with CaH2. On the other hand, SrCoO2.5 was successfully oxidized to SrCoO3 with sodium hypochlorite (NaClO). By the oxidizing reaction, the out-of-plane lattice constant decreased with keeping the perovskite structure framework. The resultant film showed ferromagnetic behavior below a magnetic transition temperature near 250K, similar to a powder sample [5]. [1] Y. Tsujimoto et al., Nature (London) 450, 1062 (2007). [2] S. Inoue, et al., Appl. Phys. Lett. 92, 161911 (2008). [3] M. Kawai, et al., Appl. Phys. Lett. 94, 082102 (2009). [4] R. Le Toquin, et al., J. Am. Chem. Soc. 128, 13161 (2006). [5] S. Balamurugan, et al., Phys. Rev. B 74, 172406 (2006).
9:00 PM - N16.26
Synthesis and Characterization of Energetic Materials Made of CuO/Al Core/Shell Nanowires.
Yuma Ohkura 1 , Shih-Yu Liu 1 , Xiaolin Zheng 1
1 Mechanical Engineering, Stanford University, Stanford, California, United States
Show AbstractEnergetic thermite materials (a mixture of Al and metal oxides) due to their high energy densities have broad applications in propulsion, thermal batteries, waste disposal and power generation for micro systems. Reducing the sizes of Al and metal oxides to nanoscale had been shown to increase the reaction rate and reduce the ignition temperature of thermites. However, it remains a challenge to uniformly mix Al and metal oxide nanoparticles. Here we report a new method to synthesize uniform thermites by using CuO/Al core/shell nanowires (NWs) . The core CuO NWs were synthesized by thermal annealing copper films and they serve as a template for the deposition of the outside Al shells by evaporation or sputtering. The advantage of such CuO/Al core/shell thermite nanowires is that CuO and Al are uniformly mixed at the nanoscale with controllable stoichiometry during the synthesis. The ignition properties of CuO/Al core/shell thermites were characterized by using the differential scanning calorimetry (DSC). The CuO/Al NWs are ignited in the temperature range of 530-560oC , which is comparable to the ignition temperatures of mixtures of CuO/Al nanoparticles with similar diameters. Furthermore, the ignition temperatures and energy release rates of CuO/Al NWs can be tailored by changing the thickness of the Al shell to vary the stoichiometry. The ignition temperature is found to decrease as increasing the thickness of the Al shell. The CuO/Al core/shell NW structure can be extended to other metal oxides to construct spatially uniform energetic thermites with tailored ignition and combustion properties.
9:00 PM - N16.28
ZnO NR/CIGS Solar Cells via Seed-assisted Growth and Electrodeposition.
Jeeyoung Jang 1 , Yun-Mo Sung 1
1 Materials Sci. & Eng., Korea University, Seoul Korea (the Republic of)
Show AbstractHigh-density and high-crystallinity ZnO nanorods were grown on F:SnO2 (FTO) glass substrates via the low-temperature seed-assisted growth mechanism. Zinc oxide layer with ~50 nm thickness was first deposited onto the FTO substrates by sputtering. Next, zinc acetate layer with ~40 nm thickness was deposited onto the FTO substrates first using spin coating. And then, the zinc acetate layer was heated to be broken into ZnO nanoparticles serving as seeds for the nucleation of ZnO nanorods. The ZnO seed particles and ZnO bottom layer induced the epitaxial growth of ZnO nanorods. The high-density ZnO nanorods with 80~100 nm thickness were successfully grown on the FTO substrates. High-resolution transmission electron microscopy (HRTEM) images of the nanorods show that they are highly crystalline with the wurtzite structure. Scanning electron microscopy (SEM) image clearly show the formation of {110} facets. CdS buffer layer was carefully overcoated on the ZnO nanorods by the conventional chemical bath deposition. Then, CIGS light absorption layer was overcoated onto the CdS/ZnO nanorods using electrodeposition. Fine granular shape CIGS crystals with 40~50 nm size started to grow from the surface of the CdS nanowires. By carefully controlling the electrodeposition conditions, high crystallinity and void-free CIGS layer was formed on the CdS/ZnO nanorods. The CIGS/CdS/ZnO NR solar cells showed improved light conversion efficiency compared to the CIGS/CdS/ZnO thin film structures produced by the identical electrodeposition method for CIGS. It could be concluded that the light conversion efficiency of thin film solar cells can further be increased through increasing the p-n junction area between the window layer and the light absorber layer by employing the nanostructures.
9:00 PM - N16.29
Giant Electrocaloric Effect In Thick and Thin Perovskite Oxide Ferroelectric Films.
Zdravko Kutnjak 1 , Brigita Rozic 1 , Barbara Malic 1 , Hana Ursic 1 , Janez Holc 1 , Marija Kosec 1
1 , Jozef Stefan Institute, Ljubljana Slovenia
Show AbstractElectrocaloric effect has attracted recently considerable attention due to its great importance for electrical to thermal energy conversion, i.e., for application in cooling or heating devices of new generation, which would be friendlier for environment. Based on indirect measurements prediction of the existence of a giant electrocaloric effect was made recently in both PZT thin films and ferroelectric polymer thin films [1,2]. Unfortunately, this predictions were made solely on the indirect measurements of the electric polarization and not on a direct measurement of the electrocaloric effect itself. A review of recent direct measurements of the giant electrocaloric effect in ceramic thick and thin oxide films of ceramic relaxor ferroelectrics will be given showing that the giant electrocaloric effect is in fact common in these systems. The relevance of the critical point proximity for the enhancement of the giant electrocaloric effect similar to the enhancement of the giant electromechanical response [3] will be discussed. [1] A.S. Mischenko, Q. Zhang, J.F. Scott, R.W. Whatmore, N.D. Mathur, Science 311,1270 (2006).[2] B. Neese, B. Chu, S.-G. Lu, Y. Wang, E. Furman, Q. M. Zhang, Science vol. 321, 821 (2008). [3] Z. Kutnjak, J. Petzelt, R. Blinc, Nature 441, 956 (2006).
9:00 PM - N16.3
ZnO-CdZnS Core-Shell Nanocable Arrays for Highly Efficient Photoelectrochemical Hydrogen Generation.
Yoon Myung 1 , Dong Myung Jang 1 , Yong Jei Sohn 1 , Tae Kwang Sung 1 , Yong Jae Cho 1 , Han Sung Kim 1 , Gyeong Bok Jung 1 , Jeunghee Park 1
1 Materials Chemistry, Korea University, Jochiwon Korea (the Republic of)
Show AbstractSolar-hydrogen (H2) production from water is fast becoming a vital source of renewable energy and is being developed as an alternative to traditional fossil fuel-based sources of power. Generally, this process utilizes a semiconductor material as a photocatalyst, in order to transfer the solar energy for the production of H2 from water. In particular, novel photoelectrodes in which arrays of TiO2 or ZnO one-dimensional (1-D) nanostructures (e.g., nanorods, nanowires, or nanotubes) are grown on a conducting substrate are emerging as an alternative to nanocrystalline TiO2 films. They can help to improve the electron transport by avoiding the particle-to-particle hopping that occurs in the TiO2 network. Furthermore, their 1-D nanostructure morphology can increase the likelihood that all photogenerated electrons have a direct connection to the collection electrode. In the present work, high-density TiO2-CdS and ZnO-CdS core-shell nanocable arrays were synthesized on large-area Ti substrates. The CdS layers were deposited on the pre-grown vertically-aligned TiO2 (rutile) and ZnO nanowire arrays, with a controlled thickness (10~50 nm), using the vapor transport method. The ZnO-CdS nanocables consisted of single-crystalline wurtzite CdS shells whose [001] direction was aligned along the [001] wire axis of the wurtzite ZnO core, which is distinctive from the polycrystalline shell of the TiO2-CdS nanocables. The structural and optical analysis suggests the formation of CdZnS alloy in the interface region between the ZnO core and CdS shell, which would enhance the charge separation by reducing the lattice mismatch. Therefore, the photoelectrochemical cell using the ZnO-CdZnS photoelectrode exhibits much more efficient hydrogen generation (26 mmol/cm2hW under AM 1.5G condition) than that using the TiO2-CdS one.
9:00 PM - N16.30
Structure/Property Relations in Bulk Versus Solution Derived Proton Conducting Ceramics of the Form SrCe0.95Yb0.05O3 With Applications in Membrane Separations.
Kyle Brinkman 1 , Elise Fox 1 , Paul Korinko 1 , Qiang Liu 2 , Frank Chen 2
1 Materials Science and Technology Directorate, Savannah River National Laboratory, Aiken, South Carolina, United States, 2 Mechanical Engineering, University of South Carolina (USC), Columbia, South Carolina, United States
Show AbstractMembrane separations are a key enabling technology for future energy conversion devices. Ionic transport membranes must have both proton and electronic conductivity to function as hydrogen separation membranes without an external power supply. A technical obstacle to material modification by compositional changes is that the hydrogen flux through a dense membrane is a function of both the proton ionic conductivity and the electronic conductivity. An alternative way to modify the materials conductivity without changing the ratio of the chemical constituents is by altering the microstructure. In this study, SrCe0.95Yb0.05O3 was produced by conventional mixed oxide bulk ceramic techniques and via two different chemical solution routes i) self-rising approach using urea as the leavening agent and ii) co-precipitation approach using ammonium carbonate ((NH4)2CO3, ultrahigh purity) as the precipitant. In conventional ceramic processing routes, the perovskite phase was observed to form at temperatures near 1300oC, while solution techniques resulted perovskite phase formation starting near 1000oC with complete phase transformations occurring at 1100oC. Thermogravimetric (TGA) studies were conducted in various gas compositions resulting in bulk oxide route powders exhibited a 1.4% weight loss at 800oC under Ar/H2 environments as compared to chemically derived powders which displayed weight losses on the order of 4%.The increase weight loss observed in chemically derived SrCe0.95Yb0.05O3 is correlated with an increase in the number of electron charge carriers and results in elevated electronic conduction.
9:00 PM - N16.31
Ag/CeO2 Nanocomposites: Synthesis and Characterization.
Antonella Glisenti 1 2 , Andrea Frasson 1 , Alessandro Galenda 1 2 , Marta Natile 1 2
1 Chemical Science, University of Padova, Padova Italy, 2 , INSTM, Padova Italy
Show AbstractIn this paper two Ag/CeO2 nanocomposite samples were prepared by deposition-precipitation (Ag/Ce nominal atomic ratio = 0.030 and 0.120) and characterized by means of XRD (X-ray diffraction), XP (X-ray photoelectron), and DRIFT (diffuse reflectance infrared Fourier transform) spectroscopies, and SEM (scanning electron microscopy). In particular, the effect of the Ag/Ce atomic ratio on the surface properties was investigated. Only the signals due to the supporting ceria are observed on the diffraction pattern of the sample with lower Ag content whereas the contributions characteristic of silver are evident in the sample with higher Ag/Ce atomic ratio. The Ce 3d and Ce 4d peak positions agree with the expected values for Ce(IV) in CeO2. The peaks shape suggests the presence of traces of Ce(III). The Ag 3d peak positions agree with the values expected for elemental Ag. In the sample with low Ag content the Ag 3d5/2 peak shape also suggests the possible presence of silver oxide. The experimental XPS Ag/Ce atomic ratios are near to the nominal values determined from the weighted amounts. Both the DRIFT and XPS results are consistent with the presence of hydroxyl and carbonate groups. The deposition of silver modifies the interaction between the composite and the atmosphere: in general, the deposition of Ag on CeO2 causes only a slight increase of the hydroxylation in the sample with lower silver content. Methanol interacts with the nanocomposites both molecularly and dissociatively with the formation of hydroxyl and methoxy groups. Mono-coordinated methoxy groups decrease with temperature disappearing at about 473-523 K whereas the opposite is observed for bi-coordinated methoxy groups. These species are particularly evident at 573 K in the sample with low Ag content. The reactivity in methanol oxidation is higher for the sample with lower Ag/Ce atomic ratio. DRIFT spectra suggest the formation of bi-coordinate formates at RT in the sample with low silver content. The intensities of the corresponding signals increase at 373 K and then decrease. Three more signals attributed to carbonates and bi-coordinated formate species appear and their intensity increases with temperature reaching the maximum value at 573 K. A similar behavior is observed for the sample richer in silver but in this case the signals due to formats are less intense whereas the formation of carbon dioxide at 423 and 473 K is evident.
9:00 PM - N16.32
Nanoporous Thin Films With Controllable Nanopores Processed from BiFeO3:Sm2O3 Vertically Aligned Nanocomposites.
Zhenxing Bi 1 , Osman Anderoglu 2 , Xinghang Zhang 2 , Judith Driscoll 3 , Quanxi Jia 4 , Haiyan Wang 1
1 Department of Electrical and Computer Engineering, Texas A&M University, College Station, Texas, United States, 2 Department of Mechanical Engineering, Texas A&M University, College station, Texas, United States, 3 Dept. of Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom, 4 Materials Physics and Applications, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractPorous thin films with ordered nanopores have been processed by thermal treatment on vertically-aligned nanocomposites (VAN), e.g., (BiFeO3)0.5:(Sm2O3)0.5 VAN thin films. Uniformly distributed nanopores with an average diameter of 60 nm and 150nm were formed at the bottom and the top of the nanoporous films, respectively. Controllable porosity can be achieved by adjusting the microstructure of VAN (BiFeO3):(Sm2O3) thin films and the annealing parameters. In situ heating experiments within a transmission electron microscope (TEM) column at temperatures from 25°C to 850°C, provides significant insights into the phases transformation, evaporation and structure reconstruction during the annealing. The in situ experiments also demonstrate the possibility of processing vertically aligned nanopores (VANP) with one phase stable in columnar structure. These nanoporous thin films with controllable pore size and density could be promising candidates for thin film membranes and catalysis for fuel cell and gas sensor applications.
9:00 PM - N16.33
Antimony Doped ZnO Nanorods - A Change From n to p Type?
Joe Briscoe 2 , Diego Gallardo 2 , Steve Dunn 1
2 Materials, Cranfield University, Cranfield, Beds, United Kingdom, 1 Materials, Queen Mary, University of London, London United Kingdom
Show AbstractZinc oxide (ZnO) nanostructures have received a great deal of attention in recent years due potential applications in areas such as transparent electronics, UV optoelectronics and photonics. Many of these devices require components such as diodes and transistors, which contain ZnO homojunctions formed at an interface between p- and n-type ZnO. ZnO is intrinsically n-type due to oxygen vacancies, which leads to difficulties in producing p-type ZnO due to self-compensation. There have been a small number of examples of p-type ZnO nanostructures; however, their production requires the use of high temperature or high energy processing steps, generally adding the dopant after the growth of the nanorods. In this work, a single-step process for producing antimony (Sb)-doped ZnO nanorods by low-temperature aqueous synthesis is presented. Sb has been shown to produce p-type ZnO thin films and nanostructures, which is supported by a theoretical understanding of the process of acceptor doping by Sb. ZnO nanorods are grown by heating an aqueous solution of zinc nitrate and hexamethylenetetramine (HMT). The nanorods were grown perpendicularly from substrates suspended in this solution. Sb was added to the reaction in the form of Sb acetate in proportions of 0.1, 1 and 5 at.%. Scanning electron microscope (SEM) images show that when the Sb acetate was added directly to the reaction the morphology of the nanorods was severely degraded. Energy-dispersive x-ray spectroscopy (EDS) confirms that there was Sb present in the nanorods. The doping method Sb was modified by dissolving the Sb acetate in ethylene glycol before adding to the reaction, which prevents the degradation of the nanorods morphology. This suggests that the supply of Sb ions during the reaction was controlled by the ethylene glycol, which acts as an encapsulating ligand, so that the Sb was incorporated into the ZnO lattice without disrupting the growth. EDS measurements show that the Sb was still included in the ZnO nanorods when using this process, and the proportion of Sb can be controlled by varying the amount added to the reaction. When Sb was added to the nanorods, an enhancement in the 400 nm photoluminescence emission is observed, which is attributed to zinc vacancies. Zinc vacancies are associated with the acceptor complex created by inclusion of Sb in the ZnO lattice, which is responsible for its p-type behaviour. Electrical measurements were performed using a platinum coated atomic force microscope tip, and demonstrate a large change in the current-voltage characteristics of the nanorods when Sb was added.
9:00 PM - N16.34
Polarisable Semiconductors – A New Route to Artificial Photosynthesis.
Matt Stock 2 , Steve Dunn 1
2 Nanotechnology, Cranfield University, Cranfield, Beds, United Kingdom, 1 Materials, Queen Mary, University of London, London United Kingdom
Show AbstractThe photocatalytic fixation of CO2 to hydrocarbons was performed using LiNbO¬3. 0.126 cm2 of powdered LiNbO3 was irradiated with 22.6mW/cm2 light from a mercury lamp in 32.5ml chamber with 10ml of water under a saturated CO2 atmosphere. The LiNbO3 was held on a platen above the water to give a gas-solid catalytic reaction. Formic acid and dissolved formaldehyde were detected in the water after irradiation for 6 hours and shown to being produced at rates of 7.7µmol per hour and 1.1µmol per hour, respectively. The photocatalytic efficiency of the Lithium niobate was measured at 0.22-0.25 in the solid-gas reaction. This compared to 0.001 for an aqueous suspension of LiNbO3 under similar illumination. As a control, TiO2 powder of a similar crystallite size was irradiated under the same conditions giving an efficiency of 0.017, which was in the expected range. The LiNbO3 system was therefore greater than an order of magnitude more efficient than the TiO2. In order to the test the influence of doping on efficiency MgO doped lithium niobate was tested. This was found to have a decreased efficiency of 0.072. The improved efficiency of lithium niobate is due to the high reduction potential -2.5V (Vs NHE), allowing stable reduction of carbon dioxide to the formate radical CO2- at -1.97V (Vs NHE). LiNbO3 is ferroelectric and displays enhanced charge separation and improved free carrier life time. This work shows LiNbO3 can be used as a photo catalyst for the fixation of carbon dioxide and that using a heterogeneous solid-gas phase process improves efficiency up to 200 fold.
9:00 PM - N16.35
Scalable Synthesis and Thermal Properties of Hafnia Nanocrystals.
Girija Chaubey 1 2 , Yuan Yao 1 2 , Pranati Sahoo 1 2 , Pierre F. Poudeu 1 2 , John Wiley 1 2
1 Advanced Materials Research Institute, University of New Orleans, New Orleans, Louisiana, United States, 2 Department of Chemistry, University of New Orleans, New Orleans, Louisiana, United States
Show AbstractWe report a simple method to the synthesis of HfO2 nanoparticles by the base-catalyzed hydrolysis and condensation of hafnium (IV) tert-butoxide in presence of surfactants at room temperature. Transmission electron microscopy (TEM) shows spherical nanoparticles with an average diameter of 4 nm. As-synthesized nanoparticles were amorphous in nature and crystallized upon moderate heat treatment. HfO2 nanoparticles have narrow size distribution, large specific surface area and good thermal stability. The size of nanoparticles annealed at 300 °C was the same as their as-synthesized counter-parts. Specific surface area was about 239 m2/g while nanoparticles annealed at 500 oC have specific surface area of 221 m2/g indicating that there was no significant increase in particle size. This result was further confirmed by TEM images of nanoparticles annealed at 300 °C and 500 °C. X-ray diffraction (XRD) studies of the crystallized nanoparticles revealed that HfO2 nanoparticles were in the monoclinic phase. The size of nanoparticles annealed at 500 °C was calculated from XRD data and was consistent with TEM images. HfO2 nanoparticles were further characterized by HRTEM analysis. As-synthesized nanoparticles were compacted using hot press compaction and thermal conductivity of the nanoparticles was studied. This synthetic procedure is readily modified for large scale production.
9:00 PM - N16.36
A Composite Photocatalyst of Nitrogen and Fluorine Co-doped Titanium Oxide Nanotube Arrays With Dispersed Palladium Oxide Nanoparticles for Enhanced Visible Light Photocatalytic Performance.
Qi Li 1 , Jian Shang 1 2
1 Materials Center for Water Purification, Institute of Metal Research, Chinese Academy of Sciences, Shenyang, Liaoning, China, 2 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractHighly ordered TiO2 nanotube arrays synthesized by anodization of titanium in fluoride-based electrolyte solutions have attracted a lot of research interests since the pioneering work of Grimes and co-workers in 2001. Anion-doped TiO2 nanotube arrays were recently synthesized by various approaches. Very recently, we synthesized nitrogen and fluorine co-doped TiO2 (TiONF) nanotube arrays by anodizing titanium in a fluoride and ammoniate-based electrolyte and calcining the amorphous nanotube arrays so obtained under a nitrogen protective atmosphere to preserve nitrogen and fluorine dopants in highly crystallized nanotube arrays. In this co-doping system, the complex interactions between a p-type (N) and an n-type (F) dopant could produce a charge compensation through an internal charge transfer from the high lying Ti3+ 3d to the low lying N states, thus reducing the overall energy for the doping process and the amount of oxygen defects in the bulk to provide better photostability and photocatalytic activity.Although anion-doping has been demonstrated as an effective approach to introduce visible light photocatalytic capability to TiO2, it also brings intrinsically the serious problem of massive charge carrier recombination, largely limiting the photoactivity of anion-doped TiO2 under visible light illumination. Herein we report the formation of a composite photocatalyst of TiONF nanotube arrays with dispersed palladium oxide nanoparticles (TIONF/PdO) by using TiONF nanotube arrays as the template to further enhance the visible light photocatalytic performance of TiO2-based nanotube arrays. By introducing the transition metal palladium modification, the optoelectronic coupling between PdO nanoparticles and TiONF nanotube arrays could promote the charge carrier seperation, thus greatly enhancing the visible light photocatalytic performance of the composite photocatalyst as we had demonstrated before on TiON/PdO photocatalytic system. Our work demonstrates that TiONF/PdO nanotube arrays have increased visible light absorption, fast superhydrophilicity conversion, and enhanced photocatalytic degradation of organic pollutants. The approach to introduce PdO nanoparticles is simple and could be easily adapted to other transitional metal oxide nanoparticles. Thus, it opens new possibilities to create photocatalysts based on TiO2 nanotube arrays with novel properties for different applications.
9:00 PM - N16.37
Fully Rollable Transparent Nanogenerators Based on Graphene Electrodes.
Hyun-Kyu Park 1 , Dukhyun Choi 2 , Jae-Young Choi 2 , Sang-Woo Kim 1
1 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 2 , Samsung Advanced Institute of Technology, Yongin Korea (the Republic of)
Show AbstractChemical vapor deposition-grown large-scale graphene sheets are employed as transparent electrodes to realize fully rollable transparent (RT) energy harvesting nanodevices using piezoelectric zinc oxide (ZnO) nanorods (called nanogenerators). Based on in-situ two probe resistance experiments and a computed simulation, we demonstrate the electrical and structural stability of graphene-based nanogenerators under external mechanical loads such as those experienced with bending or rolling. For the integrated nanogenerator, a heterogeneous three-dimensional (3D) nanostructure consisting of 1D ZnO nanorods on a 2D graphene electrode is successfully fabricated. It is investigated that our graphene electrode, with its extremely high carrier mobility at room temperature and a Schottky contact to the ZnO nanorods, results in RT-nanogenerators with excellent charge scavenging performance. The graphene-based device is expected to lead to new types of multifunctional, reliable nanosystem applications such as multiplex touch sensors and artificial skins equipped with tactile sensors.
9:00 PM - N16.38
Catalytic Oxidation of Methane Over Copper Oxide Nanowires.
Yunzhe Feng 1 , Pratap Rao 2 , Dong Rip King 2 , Xiaolin Zheng 2
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Mechanical Engineering, Stanford University, Stanford, California, United States
Show AbstractCatalytic oxidation of methane (CH4) is important for optimizing the utilization of natural gas and minimizing harmful combustion exhaust emissions. Copper oxide (CuO) catalyst is an attractive alternative to palladium and platinum in the oxidation of methane due to its earthly abundance. Previous studies have focused on CuO nanoparticles anchored on supports made of other oxides, but solid state reactions between CuO and supports and aggregation of CuO nanoparticles under large loading hinder the further improvement of the catalytic properties of CuO. Here, we report the first study of oxidation of CH4 over self-arrayed one-dimensional catalytic CuO nanowires (NWs). The CuO NWs were prepared by direct thermal annealing of copper meshes and the as-grown CuO NWs are single crystals with ultra-high surface coverage density. The conversion rates of CH4 over the CuO NWs were experimentally measured in a tube flow reactor over a range of temperatures, CH4 concentrations and loadings of CuO NWs. First, the conversion rate of CH4 over the catalytic CuO NWs is more than 40% at 500 °C, comparable to that found over supported catalytic CuO nanoparticles. Second, the conversion rate of CH4 shows a quasi-linear dependence on the loading of CuO NWs at lower temperatures indicating that the conversion rate of CH4 can be further improved by increasing the quantity of CuO NWs. Third, the catalytic activity of the CuO NWs remains constant for at least 24 hours at 500 °C. Moreover, the CuO NWs can be easily regenerated in situ by thermal annealing. Finally, when the CuO NWs were briefly treated in hydrogen RF plasma, their catalytic activity is significantly enhanced as evidenced by the fact that the CH4 conversion rate is increased by about 10% at 500 °C. X-ray photoelectron spectroscopy (XPS) analysis shows that the hydrogen plasma treatment changes the oxidation state of surface copper species from Cu (II) to Cu (I), suggesting that the Cu (I) species is more active in the catalytic process. The CuO NWs have demonstrated great potential as economical and yet effective catalysts for large scale oxidation of hydrocarbons.
9:00 PM - N16.39
Nano-composite Metal/TiO2/Si Anodes for Water Oxidation.
Yi Wei Chen 1 , Jonathan Prange 2 , Ankar Shah 1 , Michael Shandalov 1 , Byungha Shin 1 , Yohan Park 1 , Christopher Chidsey 2 , Paul McIntyre 1
1 Material Science & Engineering, Stanford University, Stanford, California, United States, 2 Chemistry, Stanford University, Stanford, California, United States
Show AbstractElectrochemical water splitting has been one of the widely used techniques to produce hydrogen for storage of renewable energy. To achieve further cost reduction, however, one needs a stable anode that is more efficient in water oxidation, the half reaction that is kinetically limiting the hydrogen production efficiency. Traditionally, metal oxide materials such as TiO2 have been investigated as catalytic anode candidates, for both electrolysis and photolysis of water. However, the wide-bandgap, semiconducting nature and presence of charge traps in TiO2 films limit their charge transport efficiency and photo-response to most of the solar spectrum. In this study, we demonstrate methods to overcome these limitations by using a hybrid metal/TiO2/doped Si stack. By replacing a TiO2 absorber with lower bandgap Si, photo-response can be improved, allowing the absorption of the visible component of the solar spectrum. Moreover, the Si base anode resistivity can be lowered by doping. Thin ALD-deposited TiO2 layers provide oxidative corrosion protection for the Si substrate. An ultra-thin top metal layer mediates charge transfer between the electrode and ionic species in aqueous solution. We use ferri/ferro-cyanide electrolytes to study the electrode charge transfer efficiency. In some cases, we have observed electrode efficiency equal to or better than low resistivity indium tin oxide (ITO) reference electrodes. By tuning the Si substrate doping density, we have optimized water oxidation at different pH ranges for both electrolytic and photolytic oxidation of water. Significant photo-response has been observed even in the absence of UV radiation. Electrode chemical and dimensional stability have been characterized by XPS, AFM, and TEM methods.
9:00 PM - N16.4
Extremely Thin Films of Hematite for Solar Driven Water Splitting.
Florian Le Formal 1 , Kevin Sivula 1 , Michael Graetzel 1
1 LPI- ISIC, Ecole Polytechnique Federale de Lausanne (EPFL), Lausanne Switzerland
Show AbstractHematite (α-Fe2O3) is an interesting candidate for solar water splitting for many reasons. Nevertheless, due to its low absorption of the long wavelengths and its poor charge carrier conductivity, control of hematite morphology on a length scale similar to the hole transport length is needed, and indeed, recent nanostructuring techniques have proven useful in increasing the performance of hematite[1].An alternative and promising approach to overcome the disaccord between the hole transport and the photon penetration depth is to use an extremely thin film of hematite coated on a high surface area host scaffold. This approach has recently been demonstrated by our laboratory to increase the conversion efficiency of hematite near the band edge absorption by positioning a greater portion of the hematite in high proximity to the electrolyte[2]. However, like others who have investigated ultra-thin films of hematite, we found the performance limited by recombination for films below ca. 50 nm. In order for this host-scaffold /guest-extremely-thin-absorber approach to be useful for hematite, a film thickness of ca. 10 nm must achieve an absorbed-photon-to-current efficiency (APCE) superior to the state-of-the-art films. As such, our recent work has been to understand and improve the photoactivity of ultra-thin films of hematite.The formation of a SiOx buffer layer on the substrate before spraying Fe(acac)3 has shown to modify the hematite thin film formation mode. These films are also more crystalline than control samples and exhibited photoactivity from an optical thickness of 12.5 nm (25 nm for control). The best undoped films reached an APCE of 30 % (at bias 1.43V and λ=350 nm)[3]. Increasing the charge carrier density by doping the hematite thin films allows us to understand the electronic role of the SiOx buffer layer, and to enhance the state-of-the-art quantum efficiency.[1] A. Kay, I. Cesar, M. Grätzel, J Am Chem Soc 2006, 128, 15714.[2] K. Sivula, F. Le Formal, M. Graetzel, Chem Mater 2009, 21, 2862.[3] F. Le Formal, K. Sivula, M. Grätzel, In preparation
9:00 PM - N16.41
Three-dimensional Titanium Dioxide Nanotube Architectures for Anodes of Dye Sensitized Solar Cells.
Cyrus Rustomji 1 2 , Christine Frandsen 2 , Sungho Jin 2 , Michael Tauber 1 2
1 Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California, United States, 2 Materials Science and Engineering, University of California, San Diego, La Jolla, California, United States
Show AbstractThe photoactive anodes of dye-sensitized solar cells (DSSCs) traditionally consist of dye-coated TiO2 nanoparticles. Recently, anodes with vertically oriented TiO2 nanotube arrays have been reported with similar surface areas for attaching dye molecules, yet much improved electron transport and recombination characteristics in comparison with traditional anodes. We report new anodes which consist of TiO2 nanotubes organized in three-dimensional architectures. An initial design utilizes ~100 nm diameter nanotubes which are grown radially up to ~40 micron lengths from all surfaces of the Ti wire grid by anodization. The absorption pathlength of the photoactive TiO2/dye layer is nearly three times greater than the typical ~15 micron thick layer of traditional nanoparticle DSSCs. At present our cell efficiencies exceed 5% in AM 1.5G solar conditions, which is the second highest efficiency for nanotube-based DSSCs. The titanium wire substrate eliminates any need for a transparent conductive oxide (TCO) coated glass in our cells, which enhances the illumination of the photoactive layer, minimizes voltage drops at the electrodes, and decreases cell cost. We show that the cell efficiency increases monotonically as the length of the TiO2 nanotubes increases from 10 to 40 microns. Furthermore, dye loading concentrations reach 350 nmol/cm2, which are approximately 3-fold greater than measured for traditional DSSC anodes. Based on our measurements and estimates, we project that the 3-D architectures explored in our work will lead to cell efficiencies that will exceed the current record of 11% that has been demonstrated for the best DSSC to date.
9:00 PM - N16.42
Proton Conduction Through Interface Phase of CPAA/KDP Composites.
Dmitry Zakharyevich 1 , Aleksey Neustroev 1
1 Condensed Matter Physics, Chelyabinsk State University, Chelyabinsk Russian Federation
Show AbstractIn the report we describe and discuss proton-conducting properties of composite system PAA-KDP (potassium dihydrophosphate), obtained by a precipitation of water-soluble component (KDP) on the surface of the particles of insoluble component (PAA) in water. The air-dried composite with volume fraction of KDP close to 15% exhibits proton conductivity that by 2 orders of magnitude higher than that of pure PAA under the same conditions. However, after a few cycles of heating-cooling the conductivity drops and its value becomes close to that of PAA. Also, there is clear phase transition at about 380 K, which we assign to the transformation of interface phase formed during the preparation. The results of structural and thermodynamic studies of these composites are also discussed.
9:00 PM - N16.44
Synergistic Effect of CdSe Quantum Dot Sensitization and Nitrogen Doping of TiO2 Nanostructures for Photoelectrochemical Applications.
Jennifer Hensel 1 , Gongming Wang 1 , Yat Li 1 , Jin Zhang 1
1 Chemistry and Biochemistry, University of California, Santa Cruz, California, United States
Show AbstractThe synthesis and photoelectrochemical (PEC) studies of TiO2 nanoparticles simultaneously doped with nitrogen and sensitized with CdSe quantum dots (QDs) are reported and have been applied successfully as photoanodes for PEC hydrogen generation using Na2S and Na2SO3 as sacrificial reagents. We observe significant enhanced photoresponse in these nanocomposites compared to N-doped TiO2 or CdSe QD sensitized TiO2. The enhancement is attributed to the synergistic effect of CdSe sensitization and N-doping that facilitate hole transfer/transport from CdSe to TiO2 through oxygen vacancy states (Vo) mediated by N-doping. The results demonstrate the importance of designing and manipulating the energy band alignment in composite nanomaterials for fundamentally improving charge separation and transport and thereby PEC properties.
9:00 PM - N16.45
Cadmium Stannate by Sputtering as Transparent Conducting Oxide for Solar Cell Applications.
Camilla Baratto 1 , Antonio Braga 1 , Elisabetta Comini 1 , Silvia Todros 1 , Isabella Concina 1 , Guido Faglia 1 , Matteo Ferroni 1 , Giselle Jimenez 1 , Andrea Ponzoni 1 , Giorgio Sberveglieri 1 , Alberto Vomiero 1
1 Sensor Lab, CNR & UNIV OF BRESCIA, Brescia Italy
Show AbstractTransparent Conducting Oxides (TCO) are developed for photovoltaic devices, flat panel displays and other applications where a transparent and conductive layer is needed. The research is looking for alternative low cost material to conventional indium tin oxide (ITO). Among ternary oxides one of the most promising candidate is the n-type semiconductor Cd2SnO4. In this work we developed a novel procedure to prepare cadmium stannate thin layers (∼200 nm thick) by sputtering at 400°C on corning glass substrates in inert and oxidizing conditions, in order to tailor the sheet resistance and transparency of thin films. Subsequent thermal treatment was performed in air in the range 400°C to 600°C. Since thermal treatment cause an increase in sheet resistance, maximum temperature was selected keeping in mind the application. In the case of subsequent deposition of TiO2 layer by screen printing, no treatment or treatment at 400°C for 30 minutes was applied. In case of deposition of ZnO nanowires by evaporation condensation method, oxidation at 60°C for 30 hours was accomplished. The morphology of thin films was characterized by electron and atomic force microscopy; the composition was analyzed by EDX. Transmittance measurements were carried out by UV-Vis spectroscopy in 300-900 nm range; a transmittance ranging from 80% to 90% was measured, and a sheet resistance ranging between 10 to 30 Ohms/sq was obtained, depending on the thickness and the thermal treatment.In order to investigate the impact of prepared TCO on the device performance, we compared standard ITO and sputtered cadmium stannate in a typical dye sensitized solar cell structure, with photoanode made of TiO2 nanoparticles, commercial N719 dye and I-/I3- redox couple. Current-voltage characteristic under 1 sun irradiation and Incident Photon to Current Efficiency (IPCE) of the cells showed that different or no thermal treatment on cadmium stannate layer influenced cell behaviour. Application of cadmium stannate as front contact in nanowire based solar cells will also be investigated.
9:00 PM - N16.46
Exploration of p-type Delafossites for Hydrogen Evolution in Photoelectrochemical Water Splitting.
Adriana Paracchino 1 , Scott Warren 1 , Michael Graetzel 1
1 , EPFL, Lausanne Switzerland
Show AbstractSolar hydrogen production using semiconductors interfaced to an aqueous electrolyte is attracting much attention since the first report on this phenomenon in the early 70s1. Photoelectrochemical water splitting can be a “green” route to get hydrogen from water without using any other energy supply than sunlight. In the last years, research efforts have been mainly devoted to n-type materials for photoanode application, but finding a stable and efficient p-type material is still an open challenge.Ternary oxides with the delafossite crystal structure, such as CuAlO2 and CuCrO2, have an optimal band gap, suitable conduction band edge position, good conductivity and stability in an aqueous environment. These materials have been explored in the last decade as p-type conductors2-5 but their exploration as photocathodes has been extremely limited6,7 and from the existing literature it is unclear what the limitations are. CuAlO2, CuCrO2 and Ca-doped CuCrO2 have been synthesized through sol-gel and coprecipitation method and the characterization has been carried out on powder-pressed pellets. The positive Seebeck coefficient confirms their p-type nature and photocurrents on the order of 100 μA/cm2 have been measured for both CuAlO2 and Ca-doped CuCrO2. The photoresponse is smaller for undoped CuCrO2. The study on undoped CuAlO2 pellets from solid-state, sol-gel and coprecipitation synthesis proves that the photoresponse depends on the synthetic approach, which affects the number of intrinsic hole carriers in the material. These intrinsic holes have been identified as ionized copper vacancies3. Based on the difference in conductivity and photoresponse between undoped CuAlO2 and undoped CuCrO2 we infer Al3+ promotes Cu+ vacancies, probably through an interstitial doping, while Cr3+ does not. We are also carrying out electrochemical experiments in order to differentiate between the role played by surface and bulk recombination in limiting the photocurrents.In conclusion, delafossite oxides are not only interesting in thin films as p-type TCO materials but can also exhibit sufficient absorption to be considered as a realistic means to split water. Our continuing efforts seek to achieve improved control over the morphology and size of these delafossite photocathodes.References1.Fujishima et al. Nature (1972) vol. 238 (5358) pp. 37-382.Kawazoe et al. Nature (1997) vol. 389 (6654) pp. 939-942 3.Ueda et al. J. Appl. Phys. (2001) vol. 89 (3) pp. 1790-17934.Nagarajan et al. J. Appl. Phys. (2001) vol. 89 (12) pp. 8022-80255.Yanagi et al. J. Appl. Phys. (2000) vol. 88 (7) pp. 4159-41636.Benko et al. J Phys Chem Solids (1984) vol. 45 (1) pp. 57-597.Benko et al. Mater Res Bull (1986) vol. 21 (6) pp. 753-757
9:00 PM - N16.48
Understanding the Electrical and Structural Properties of ZnO Nanostructures for OPV Applications.
Rodrigo Noriega 1 , Whitney Gaynor 1 , Michael Toney 2 , Peter Peumans 1 , Alberto Salleo 1
1 , Stanford University, Stanford, California, United States, 2 , SSRL, Stanford Linear Accelerator Center, Menlo Park, California, United States
Show AbstractZinc oxide is one of the leading candidates to be used as a transparent electrode in photovoltaic devices due to its low absorption in the visible range and high conductivity when doped. The low-cost solution-based synthesis of doped ZnO nanostructures with various morphologies has been demonstrated and show encouraging optical properties. This opens the possibility to combine key elements of photovoltaic devices, the transparent electrode and light diffuser, into a single layer comprised of doped ZnO nanostructures.However, the electrical properties of these nanostructures can still be improved when compared to values obtained in the bulk or by magnetron sputtering, therefore we study the mechanisms responsible for dopant inclusion and activation using anomalous X-ray diffraction (AXRD) to probe the presence of dopants in the wurtzite lattice sites by tracking changes in structure factor when scanning the X-ray photon energy across the Zn and Ga absorption edges, and photothermal deflection spectroscopy (PDS) to observe dopant behavior under different post-processing conditions.PDS sensitively measures the absorption of thin films without being adversely affected by scattering, which is an important factor in our materials. This allows us to measure the absorption due to free charge carriers in an ensemble of nanostructures, and to correlate that to charge density and mobility using the Drude metal model. Monitoring the changes in the free carrier absorption peak provides a highly sensitive tool to assess the effectiveness of post-processing techniques (such as annealing) and to optimize the electrical properties of these materials.We explore different processes for incorporation of nanostructured ZnO into organic photovoltaics, particularly P3HT:PCBM bulk heterojunction solar cells, in order to improve their efficiency via the scattering of light into the device plane while still providing a conducting path for charge extraction. Various deposition processes including lamination, spincoating, and spraying are studied, as well as different nanostructure-polymer blending conditions to improve film properties.
9:00 PM - N16.5
Chemical Growth of TiO2 Nanorod/Nanofiber Hybrid-structure for Dye-sensitized Solar Cell Applications.
Kyun Ahn 1 , Hyun-Uk Lee 1 , Se-Young Jeong 1 , Chae Ryong Cho 1 , Jong-Pil Kim 2 , Jang-Hee Yoon 2
1 Department of Nano Fusion Technology, Pusan National University, Miryang Korea (the Republic of), 2 Busan center, Korea Basic Science Institute, Busan Korea (the Republic of)
Show AbstractIn this study, the TiO2 electrode as hybrid-structure which is consists of TiO2-nanorod (NR) on TiO2-nanofiber (NF) was fabricated. This structure in dye-sensitized solar cell (DSSC) will improve electrically conversion efficiency because of the increase of contact site of dye due to high surface area of TiO2 nanostructure compared to that of TiO2 film. We present the structural characteristics on grown hybrid-structure TiO2 (NR/NF) and the electrical properties of dye-sensitized solar cell (DSSC) according to structure types of TiO2. TiO2 of various types (e.g., NF, NR and hybrid-structure (NR/NF)) on fluorine doped tin oxide (FTO) deposited on glass was grown. The TiO2-NF and NR were prepared by using electrospinning and low temperature solution growth method, respectively. The hybrid-structure of TiO2-NR/NF was also prepared by combining of above two methods. As electrode layer, new concept TiO2 nanostructures such as TiO2-NR, NF and hybrid-structure (NR/NF) provide a direct conduction path for electrons between the dye light absorbing layer and transparent conducting TiO2 layer and may offer improved electron transport compared to TiO2 of film-type. The surface morphology and structure were measured by field emission scanning electron microscope (FE-SEM), transmission electron microscopy (TEM) and x-ray diffraction (XRD). From the analysis results of FE-SEM, TEM and XRD, the width and crystal phase of the TiO2-NF and NR was measured 100 ~ 200 nm with anatase phase for 500°C annealing temperature and 60 ~ 90 nm with rutile phase for 100°C processing temperature, respectively. The optical behaviors of various TiO2 types were investigated by using UV-VIS-NIR spectrometer. The electrical properties of various TiO2 types based on DSSC were measured by I-V measurement and solar simulator. The TiO2 hybrid-structure based DSSC showed better than efficiency of various TiO2 film and independent TiO2 nanostructures.
9:00 PM - N16.50
Electrolyte and Cathode Studies for Micro-Solid Oxide Fuel Cell.
Yan Yan 1 , Samuel Rey-Mermet 1 , Guochu Deng 1 , Silviu Sandu 1 , Paul Muralt 1
1 , EPFL, Lausanne Switzerland
Show AbstractMicro solid oxide fuel cells (µ-SOFC) show great promises as portable power devices, as they allow autonomy from the grid. In theory, they exhibit superior output energy per weight ratio than batteries. However, the impact of the low operation temperature of around 500 °C onto the electrode properties is not sufficiently known. Furthermore there are many open issues concerning integration into silicon or other micro technologies, mechanical stability and aging behaviour. In a previous work we concentrated on the study of nickel grids as support structure for the brittle membranes [1]. In this contribution we present results on a microstructure study of the electrolyte YSZ (yttria stabilized zirconia) thin film, and on LaSrMnO3 (LSM) thin films for cathodes. A two-layer structure comprising two different morphologies of YSZ thin films was studied with the goal to avoid grain boundaries crossing the entire film. Both layers were deposited by RF sputtering. One layer had a dense columnar structure with (111)-oriented grains, the second one had a nano porous structure of (200)-orientation. The first one is thought to give mechanical stability in addition to ionic conductivity, the second layer to provide an interface to the porous anode electrode, and to avoid direct access of hydrogen to the grain boundaries of the dense YSZ layer. The mechanical stress of the dense film could be tuned to zero. The bilayer membranes were integrated with porous Pt electrodes into a silicon based µ-SOFC test structure and yielded an open circuit voltage of 0.85 V in an Ar:H2 gas mixture above 400 °C. Interestingly, an OCV voltage developed already at 380 °C in pure Ar, thus without H2 fuel gas. The performances of cells are generally not only determined by the electrolyte membrane, but also by rate limitations for oxygen up-take at the cathode, and reaction limitation and/or electronic resistivity in porous anodes. While the first demonstrated devices included porous Pt electrodes on both sides, mixed ionic/electronic conductors such as La1-xSrxMnO3 may become relevant for µ-SOFC's. We investigated the role of LSM cathode films – deposited by pulsed laser deposition - by means Electrochemical Impedance Spectroscopy (EIS). It turned out that our LSM cathodes exhibit high surface resistances. However, when covering with a Pt film, this resistance vanishes. This indicates an important role of Pt as catalyst at the cathode.[1] S. Rey-Mermet, P. Muralt, Solid Oxide fuel cell membranes supported by nickel grid anode, Solid State Ionics 179, 1497-1500 (2008).
9:00 PM - N16.51
Visible Light Photocatalysis of N-doped TiO2.
Joel Varley 1 , Anderson Janotti 2 , Chris Van de Walle 2
1 Physics, University of California, Santa Barbara, Santa Barbara, California, United States, 2 Materials, University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractUsing first-principles calculations we investigate the effects of nitrogen doping on the photocatalytic properties of rutile TiO2. We find that N can be incorporated into the bulk with appreciable solubility in the form of a substitutional or N2 split-interstitial defect. Substitutional N gives rise to a deep-acceptor state, while the split-interstitial behaves as a donor. We confirm that substitutional N on the O site is found to effectively lower the absorption of light to the visible-range by approximately 0.6 eV with respect to the bandgap of 3.1 eV, in good agreement with recent experiments.1–3 Our results indicate that the N2 split-interstitial defects do not to contribute to the observed onset of visible-light absorption yet can potentially play a role in the pinning of the Fermi Level. We conclude that N is a good dopant choice for TiO2, increasing the versatility of TiO2 for use as a photo-catalyst for sub-UV illumination. 1 S. A. Chambers, S. H. Cheung, V. Shutthanandan, S. Thevuthasan, M. K. Bowman, and A. G. Joly, Chem. Phys. 339, 27-35 (2007).2 K. Kobayakawa, Y. Murakami, and Y. Sato, J. Photochem. Photobiol., A 170, 177-179 (2005).3 S.H. Cheung, P. Nachimuthu, A.G. Joly, M. H. Engelhard, M. K. Bowman, and S. A. Chambers, Surf. Sci. 601, 1754- 1762 (2007).
9:00 PM - N16.52
Photoelectric and Piezoelectric Energy Conversion Using Hybrid Architectures of Zinc Oxide Nanowires and Aligned Carbon Nanotubes.
Jong Ok 1 , Sameh Tawfick 1 , Anne Juggernauth 1 3 , Kai Sun 2 , Yongyi Zhang 1 , A. John Hart 1
1 Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, United States, 3 Macromolecular Science and Engineering Research Center, University of Michigan, Ann Arbor, Michigan, United States, 2 Electron Microbeam Analysis Laboratory, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractHierarchically structured materials having large interfacial areas along with a high density of junctions between complimentary nanostructures will be essential components of future energy conversion and storage technologies. To this end, we have created a hybrid material comprising ZnO nanowires (NWs) grown on organized carbon nanotubes (CNTs), which exhibits multifunctional energy transduction properties. The material is made by a two-step CVD process involving vertically aligned CNT growth using a hydrocarbon source, followed by ZnO NW growth by a low-temperature vapor-solid method that preserves the structural integrity of the CNTs. The ZnO NWs grow uniformly and radially from the surfaces of CNTs, creating a 3D volume wherein CNTs make uniform and distributed electrical contact to the ZnO NWs. Characterization of individual ZnO/CNT structures by SEM, TEM, and EELS reveals intimate contact between the initial ZnO nanocrystals and the CNT sidewalls; and NW growth proceeds in the low-index [0001] direction. We process the ZnO/CNT hybrid into flexible thin film devices by mechanical rolling and standard photolithography methods, and characterize their electrical, photoelectric, and piezoelectric characteristics. Depending on the CNT diameter packing density, and the ZnO NW diameter and length, the yield of ZnO NWs grown on CNTs can exceed the yield on a flat substrate by more than three orders of magnitude. This indicates potential for significant gains in energy density by growth of functional nanowires on organized CNT scaffolds that serve as both a 3D substrate and a robust and distributed electrical contact.
9:00 PM - N16.53
Catalytic Properties of Lanthanide-doped Cerium Oxide Nanotubes.
Neil Lawrence 1 , Joseph Brewer 1 , James Wells-Kingsbury 1 , Chin Cheung 1
1 Chemistry, University of Nebraska-Lincoln, Lincoln, Nebraska, United States
Show AbstractEfficient catalysts for the oxidation of carbon monoxide (CO) to carbon dioxide (CO2) have been a widely studied topic with applications ranging from catalytic converters for car exhausts to their use in preventing the poisoning of the solid oxide fuel cells. Cerium(IV) oxide (CeO2) nanomaterials have attracted much attention lately due to their enhanced catalytic property to oxidize carbon monoxide at moderate to low temperatures (< 600 °C). Such oxidation temperature can be further reduced by doping the CeO2 catalysts with gadolinium (Gd) or lanthanium (La) ions. However, little literature information is available to correlate the contributions of different effects such as size and crystallinity of these doped catalysts to alter their catalytic properties. Here we report the hydrothermal synthesis CeO2 nanotubes of different lanthanide dopant types and densities. The effect of oxide structures and distribution of lanthanide dopants on the CO oxidation properties of these materials will be discussed.
9:00 PM - N16.55
Effect of Heat Treatment on the Electrical and Optical Properties of Cold-sputtered Indium Tin Oxide Films.
Salil Joshi 1 , Gregory Book 1 , Rosario Gerhardt 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractIndium Tin Oxide (ITO) coatings were deposited by RF sputtering onto non-heated glass, quartz, polyethylene terephthalate (PET), and p-type (100) Si wafer substrates using an ITO target containing 10 wt% SnO2 in a Kurt Lesker PVD75 system in an atmosphere of 50% O2 + 50% Ar. Various heat treatments were conducted on these coatings in an atmosphere of commercial air or argon at temperatures ranging from 150°C to 450°C. The effects of these heat treatments on the microstructure of the coating, as well as the electrical and optical properties were studied through atomic force microscopy, impedance spectroscopy, variable wavelength ellipsometry and UV-visible absorption spectroscopy. The various heat treatments were observed to significantly affect the properties such as transmittance in the visible region, optical band gap and the electrical resistivity of the films. The changes in the properties will be related to the changes in the crystal and defect structure as well as the microstructure of the films.
9:00 PM - N16.56
Templateless electrodeposition of copper oxide with various structures for photoelectrochemical application
Le Chen 1 , Sudhakar Shet 1 , Yanfa Yan 1 , Mowafak Al-Jassim 1 , John Turner 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractCopper oxide in form of nanowires, cubes and particles were able to be electrodeposited through different procedures without templates and surfactants. Besides, they all show very good contact with FTO glass substrates. By combining easily corroded amorphous copper tungsten oxide thin films sputtered onto FTO glass substrate as starting material and corrosion in basic solution as a pre-electrodeposition step, bundled copper oxide nanowires can be developed. Other copper oxide based starting materials or different corrosion procedures can result in the production of copper oxide in big particle and small cube shapes. XRD, SEM and TEM were used to characterize crystalline composition, structure and morphology of electrodeposited copper oxide material. Photoelectrochemcial measurement reveals that electrodeposited copper oxide with different structure in overall exhibit p-type conductivity and high stability in the basic electrolyte. Among them, copper oxide nanowires show highest photoresponse.
9:00 PM - N16.57
Low-temperature fabrication of anatase films with tunable thickness and morphology
Shirin Usmani 1 , Diana Mars 1 , Andrew Ichimura 1
1 Chemistry, San Francisco State University, San Francisco, California, United States
Show AbstractTitanium dioxide finds extensive environmental applications in oxidative photocatalysis and dye-sensitized solar cells. Applications such as hybrid solar cells utilize thin films of titanium dioxide as the electron transport material. Typically, the films are prepared from TiO2 nanoparticle containing sols that are spin-coated onto substrates and subsequently sintered to induce interparticle contact. We have pursued an economical strategy of thin film fabrication that involves low-temperature growth of crystalline anatase directly onto a functionalized surface from homogeneous solutions. In this approach, a densely packed functionalized self-assembled monolayer (SAM) with a terminal Ti-OH functional group is used to chemically bond the film to the underlying gold substrate. We have designed films with tunable thickness and morphology on both mica and silicon wafers. The growth of films is found to be a function of pH, concentration of fluoride, and temperature. Surface science studies were conducted using FTIR, Ellipsometry, HR-SEM, and X-ray Diffraction. It was found that novel combination of pH and temperature promotes ordered growth of titania films.
9:00 PM - N16.6
Gadolinia-doped Ceria Electrolyte Films by RF Reactive Magnetron Sputtering Using 20GDC and CeGd Alloy as the Targets.
Yu-Lin Kuo 1 , Sung-En Lin 2 , Wen-Cheng Wei 1
1 Mechanical engineering, National Taiwan University of Science and Technology, Taipei Taiwan, 2 Department of Materials Science and Engineering, National Taiwan University, Taipei Taiwan
Show Abstract20 mol% Gd-doped ceria (20GDC) electrolyte films were prepared by radio frequency (RF) magnetron sputtering from a 20GDC oxide and a Gd-20 at% Ce alloy targets, respectively. Material characteristics of the deposited 20GDC films before and after annealed at 1000°C for 1 hr were investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and conductivity measurements. Both of 20GDC electrolyte films prepared from oxide target (O-20GDC) and alloy target (M-20GDC) represented the crack-free and dense structures, as well as the comparable total conductivities in the whole temperature scale. Comparing to the conductivity of bulk GDC or bulk 8YSZ, both films have the conductivity about one order superior than that of 8YSZ, but inferior than that of 10GDC and 20GDC. Our results suggested that sputtered-GDC films from oxide target and alloy target can be used as the electrolyte films for solid oxide fuel cells (SOFCs) systems as compared to the well-known YSZ.
9:00 PM - N16.7
Atomic Layer Deposited Manganese Oxide for Electrocatalytic Reactions Involving Oxygen.
Sang Wook Park 1 2 , Yelena Gorlin 2 , Thomas Jaramillo 2 , Stacey Bent 2
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Chemical Engineering, Stanford University, Stanford, California, United States
Show Abstract The development of clean and renewable energy sources has been an attractive area of research in recent years due to increased attention on environmental issues. In this regard, the development of new, efficient electrocatalysts for fuel production and consumption are needed. This study explores the use of atomic layer deposition (ALD) to fabricate manganese oxide electrocatalysts. Manganese oxides are known catalysts active for water oxidation. Compared to other catalysts such as platinum, ruthenium, and iridium they have strong advantages in both cost and abundance. The technique of ALD, which provides for conformal growth of thin films with a high degree of uniformity and atomic scale control, is attractive for applications where thin catalyst coatings are desired, especially on high surface area supports. In this study, manganese oxide thin films were deposited by ALD on both silicon substrates and glassy carbon electrodes. In order to increase its adhesion to the substrate and to change the active surface area, annealing and surface treatments were also carried out under various environmental conditions. The manganese oxide films were analyzed by ellipsometry, X-ray photon spectroscopy (XPS), X-ray diffractometry (XRD), and scanning electron microscope (SEM). Electrochemical experiments, predominantly cyclic voltammetry, were used to measure the catalytic activity of ALD manganese oxides for both the oxygen reduction reaction (ORR) and oxygen evolution reaction (OER). Good catalytic activity was observed, as seen by a decrease in the overpotential and an increase in the Faradaic current compared to a bare glassy carbon electrode. The potential for ALD-deposited manganese oxides in electrocatalysis and photoelectrocatalysis applications will be discussed.
9:00 PM - N16.8
Electrophoretic Fabrication of Porous Cobalt Oxide Films Applicable for Supercapacitor Electrodes.
Min-Sun Song 1 2 , Kyung Min Lee 1 2 , Tae Woo Kim 1 , Seong-Ju Hwang 1 2
1 Center for Intelligent Nano-Bio Materials (CINBM), Ewha Womans University, Seoul Korea (the Republic of), 2 Department of Chemistry and Nano Sciences, Ewha Womans University, Seoul Korea (the Republic of)
Show AbstractPorous thin films of cobalt oxide were fabricated by electrophoretic deposition of exfoliated cobalt oxide nanosheets on the metal substrate. The precursor colloidal suspension of exfoliated cobalt oxide was prepared by the intercalation of quaternary ammonium ions into protonated layered cobalt oxide. The crystal structure, morphology, and electrochemical properties of the deposited films can be controlled by changing the dispersion solvent and deposition time. According to X-ray diffraction, X-ray photoelectron spectroscopy, and field emission-scanning electron microscopy, porous films of cobalt oxide with controllable crystal structure and Co oxidation state are formed. Taking into consideration the porous morphology of the obtained CoOx thin films, these films were applied as electrode for supercapacitor. Cyclic voltammetry clearly demonstrated the present cobalt oxide films show promising electrode performance for supercapacitor and the types of dispersion solvent and electrolyte have profound influence on the electrochemical properties of these films. This study clearly demonstrates that the electrophoretic deposition of exfoliated metal oxide nanosheets can provide a new synthetic route to efficient thin film electrodes for supercapacitor application.
Symposium Organizers
Alain Pignolet Institut National de la Recherche Scientifique (INRS) /
Universite du Quebec
Hariharan Srikanth University of South Florida
Lionel Vayssieres National Institute for Materials Science
Anke Weidenkaff EMPA - Swiss Federal Laboratories for Materials Testing and Research
N17: Oxide Nanostructures and Heterostructures II
Session Chairs
Matthias Batzill
Eva Hemmer
Friday AM, April 09, 2010
Room 2001 (Moscone West)
9:30 AM - **N17.1
Chemical Approaches to Functional Nanostructures: Growth, Applications and Devices.
Sanjay Mathur 1 , Thomas Fischer 1
1 , University of Cologne, Koeln Germany
Show AbstractChemical design of inorganic materials deals with the transfer of short range chemical order, present in the molecular precursor state, to infinite correlation lengths in three dimensions. A generic chemical strategy based on the transformation of molecular precursors into functional inorganic nanostructures allows producing nanomaterials of different dimensions and morphologies with precisely controlled chemical composition and phase purity. The successful synthesis, modification and assembly of nanobuilding units such as nanocrystals, -wires and –tubes of different materials have demonstrated the importance of chemical influence in materials synthesis, and have generated great expectations for the future. Inorganic nanostructures inherit promises for substantial improvements in materials engineering mainly due to improved physical and mechanical properties resulting from the reduction of microstructural features by two to three orders of magnitude, when compared to current engineering materials. The chosen examples will include nanostructured functional films for hydrophobic, hydrophilic and barrier properties, application of superparamagnetic iron oxide nanoparticles for drug delivery applications, molecule-based synthesis of nanowires and development of single-nanowire based devices. This talk will focus how chemically processed nanostructures open up new vistas of material properties, which can be transformed into advanced material technologies. It will also address the several steps involved in the transformation of laboratory scale research into nanotechnology-based products and devices.
10:00 AM - N17.2
Nonaqueous Sol-gel Routes to Metal Oxide Nanostructures.
Nicola Pinna 1 2
1 Department of Chemistry and CICECO, University of Aveiro, Aveiro Portugal, 2 World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2), Department of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractNonaqueous sol-gel routes are elegant approaches for the synthesis of metal oxide nanomaterials [1]. Indeed, high quality pure inorganic nanocrystals [1], ordered hybrid organic-inorganic materials [2] or thin films by atomic layer deposition (ALD) [3] can be obtained. Especially, the chemical mechanisms taking place during the metal oxide formation greatly influence the morphology, assembly and the final properties. In this communication, after an introduction to nonaqueous sol-gel routes to inorganic nanocrystals and ordered hybrid organic-inorganic materials synthesized in surfactant-free system, we will concentrate on the ALD using nonaqueous conditions. The similarities and differences of the chemical processes taking place in solution and in ALD will be discussed. We will describe recent advances on metal oxide thin films deposition using nonaqueous sol-gel chemistry [3] and we will present a novel approach, based on the reaction of metal alkoxides with carboxylic acids, leading to the formation of high quality metal oxide thin films [4]. This process enables the growth of metal oxides at temperatures as low as 50 °C on various supports including monocrystalline substrates, carbon nanotubes, organic fibers, etc. Especially, this new approach permits the homogeneously coating of the inner and outer surface of carbon nanotubes with a highly conformal film of controllable thickness and hence, the production of high surface area multifunctional materials at a so far unprecedented quality [5,6]. The characteristics of these films will be presented together with their formation mechanism. Finally, the dielectric properties of thin films deposited on silicon wafers and the gas sensing characteristics of devices made of carbon nanotubes homogeneously coated with thin layers of metal oxides will be introduced. References:[1] Pinna, N. & Niederberger, M. Surfactant-free nonaqueous synthesis of metal oxide nanostructures, Angew. Chem. Int. Ed., 2008, 47, 5292-5304 [2] Pinna, N. The "benzyl alcohol route": An elegant approach towards organic-norganic hybrid nanomaterials, J. Mater. Chem., 2007, 17, 2769-2774 [3] Clavel, G.; Rauwel, E.; Willinger, M.-G. & Pinna, N. Nonaqueous sol-gel routes applied to atomic layer deposition of oxides, J. Mater. Chem, 2009, 19, 454-462 [4] Rauwel, E.; Clavel, G.; Willinger, M.-G.; Rauwel, P. & Pinna, N. Non-aqueous routes to metal oxide thin films by atomic layer deposition, Angew. Chem., Int. Ed., 2008, 47, 3592-3595 [5] Willinger, M.; Neri, G.; Rauwel, E.; Bonavita, A.; Micali, G. & Pinna, N. Vanadium oxide sensing layer grown on carbon nanotubes by a new atomic layer deposition process, Nano Lett., 2008, 8, 4201-4204 [6] Willinger, M.; Neri, G.; Bonavita, A.; Micali, G.; Rauwel, E.; Herntrich, T. & Pinna, N.The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition, Phys. Chem. Chem. Phys., 2009, 11, 3615-3622
10:15 AM - N17.3
Atmospheric, Catalyst-free Flame Synthesis of Metal Oxide Nanowires.
Pratap Rao 1 , Xiaolin Zheng 1
1 Mechanical Engineering, Thermosciences, Stanford University, Stanford, California, United States
Show AbstractMetal oxide nanowires are promising candidates for applications in catalysis, photoelectrochemical water splitting, photovoltaics, nanoenergetic materials, energy storage, chemical sensing, and smart windows, to name a few. Previous syntheses of metal oxide nanowires from the vapor phase have generally been conducted under vacuum conditions with the presence of catalysts, and have limited scalability.
Here, we report a simple, atmospheric, catalyst-free synthesis of γ-Fe2O3, W18O49 and ZnO nanowires (NWs) using flames. The NWs were synthesized in the post-flame region of a methane-hydrogen/air non-premixed co-flow flat flame. Metal oxide vapors were generated from metal meshes placed in the hot gas stream and subsequently deposited onto a downstream growth substrate in the form of highly crystalline NWs. The NWs have rapid, micrometer-per-minute axial growth rates and are oriented perpendicularly to the substrate with high surface coverage density. Furthermore, the NW morphologies can be finely tuned by controlling three primary parameters, i.e., the temperature of the metal source, the temperature of the growth substrate, and the gas phase composition in the post-flame region. For example, the morphology of the as-grown tungsten oxide nanostructures can be varied from a NW structure to a flower-like structure simply by increasing the growth substrate temperature. Finally, the metal oxide NWs were successfully grown on diverse substrates, including Si wafers, bare glass, fluorinated tin oxide-coated glass, and other metals, under the appropriate conditions. In particular, the metal oxide NWs can be easily grown over a broad range of conditions when the growth substrate is the constitutive metal. This flame synthesis technique demonstrates great potential for the growth of tailored metal oxide nanostructures on a variety of substrates in a simple, mild, economical, rapid, and scalable manner.
N18: Ferroics and Multiferroics III
Session Chairs
Agnes Barthelemy
Alain Pignolet
Friday PM, April 09, 2010
Room 2001 (Moscone West)
11:00 AM - **N18.1
Advanced Control of Interfaces in Bulk Ferroelectric Composites.
Mario Maglione 1 , Catherine Elissalde 1
1 University Bordeaux 1, ICMCB CNRS, Pessac France
Show AbstractFerroelectric materials have very appealing properties such as their dielectric permittivity, piezoelectric coefficients and permanent polarization which are well above all other materials. They however suffer from some drawbacks: uneasy control of the ferroelectric properties at nanoscale, dielectric losses in the microwave frequency range, long term stability of the poled state, segregation of point defects. As in several other fields, materials scientists have addressed these challenges through the composite route on mixing ferroelectric nanopowders with other functional materials. For example, the microwave losses can be reduced when ferroelectric (Ba,Sr)TiO3 are mixed with very low loss materials like MgO, MgTiO3.In such 3D composites as well as in all ceramics made of nanopowders, the grain boundary interfaces are the main concern. We used advanced chemistry and sintering routes for designing bulk composites where the ferroelectric grains are continuously covered by a dielectric phase like SiO2. This has been useful for decreasing of dielectric losses thanks to a deep control of the cross diffusion at interfaces between the ferroelectric and the dielectric phases. Moreover, when processed under highly reducing conditions, we were able to control the competition between the inner grain conductivity and the insulating grain boundaries resulting in a supercapacitor behavior of BaTiO3 based ceramics. Such artificial properties will be discussed using a space charge model that can be extended to many so called giant permittivity materials.At last, we will show than when the operating frequency and temperature ranges are properly tuned, effective coupling between an external magnetic field and the capacitance of ferroelectric materials can be achieved. This is not only true for dispersed materials like ceramics but also for ferroelectric single crystals where the key interfaces are the domain walls.
11:30 AM - **N18.2
Local Ferroelectric Switching and Size-effects in BiFeO3 Films in Ultra-high Vacuum Down to Four Unit Cells.
Petro Maksymovych 1 , Mark Huijben 2 , Stephen Jesse 1 , Nina Balke 1 , Hye Jung Chang 3 , Albina Borisevich 3 , Arthur Baddorf 1 , Ramamoorthy Ramesh 4 , Sergei Kalinin 1
1 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 MESA+ Institute for Nanotechnology, University of Twente, Enschede Netherlands, 3 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 4 Department of Materials Sciences and Engineering , University of Califronia Berkeley, Berkeley, California, United States
Show AbstractThe fundamental problem in the studies of polarization switching in nanoscale ferroelectric films is the extent of the dielectric dead layer, which has a dominant influence on the electric field inside the ferroelectrically active layer at the ultrathin limit. We will show that the solution to this problem can be found in a simultaneous measurement of switching bias and piezoresponse of a ferroelectric film. We have observed, for the first time, reproducible and saturated piezoresponse hysteresis loops corresponding to out-of-plane polarization switching in the epitaxial BiFeO3 films from 50 nm down to only ~1.6 nm, or approximately four unit-cells (1). The experiments were carried out using Band-Excitation Piezoresponse Force Spectroscopy in ultrahigh vacuum, where polarization reversal in 10-20 nm region of a ferroelectric surface is induced by a biased metal tip of an atomic force microscope. Quantitative analysis of hundreds of hysteresis loops from each film thickness has revealed that the nucleation bias corresponding to the onset of polarization switching scales approximately as 1/3 power of film thickness. This is surprisingly consistent with Kay-Dunn scaling of the nucleation field, derived for macroscopic films using Landauer nucleation model for polarization switching. We argue however, that the true nucleation field is nearly constant for films thinner than ~ 10 nm, while the apparent Kay-Dunn scaling arises due to the effect of the dielectric gap that becomes dominant at the ultrathin limit. We show that the dead-layer can be accounted for by considering the values of local piezoresponse and its variation across the surface. Mapping of local hysteresis loops on a high-resolution grid, has further revealed a dramatically smaller strength of random electrostatic and strain disorder in ultrathin films, manifested as a much narrower distribution of the nucleation bias. Reliable measurements of nanoscale ferroelectricity in BiFeO3 yield the feasibility to device concepts in confined ferroelectric geometries for information storage, spintronics and opto-electronic applications and break the ground for fundamental studies of scalability of multiferroic properties and polarization-controlled electron tunneling. The measurements were conducted at the Center for Nanophase Materials Sciences sponsored at Oak Ridge National Laboratory by the Division of Scientific User Facilities, U.S. Department of Energy. 1.P. Maksymovych, M. Huijben, S. Jesse, N. Balke, H. J. Chang, A. Y. Borisevich, A. P. Baddorf, R. Ramesh, S. V. Kalinin, “The Ultrathin Limit of Polarization Switching in BiFeO3 from Scanning Probe Microscopy”, submitted to Phys. Rev. Lett. (2009)
12:00 PM - N18.3
Tuning Domain Wall Conductivity in Multiferroic BiFeO3.
Jan Seidel 1 2 , Seung-Yeul Yang 1 , Chan-Ho Yang 1 , Peter Maksymovych 3 , Allard Katan 2 , Herbert Wormeester 4 , Ying-Hao Chu 5 , Ramamoorthy Ramesh 1 2
1 , UC Berkeley, Berkeley, California, United States, 2 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 , Oakridge National Laboratory, Oakridge, Tennessee, United States, 4 MESA+ Institute for Nanotechnology, University of Twente, Enschede Netherlands, 5 , National Chiao Tung University, HsinChu Taiwan
Show AbstractWe present scanning probe based transport measurements in the complex oxide material BiFeO3 with and without light illumination. We focus on the properties of crystallographically ordered arrays of 71° and 109° domain walls in BFO. By carefully balancing electrostatic and elastic boundary conditions we can create 1-D nanoscale arrays of these two domain wall types. Through this careful control, we are also able to tune the electrical conductivity of 109° walls by a factor of ~20. Under light illumination, we observe an anomalous photovoltaic effect due to structurally driven steps of the electrostatic potential that occur at these ferroelectric domain walls. The potential steps - and, in turn, the photovoltaic effect - can be fully controlled through the domain structure in these films, e.g. by local switching using electric fields.
12:15 PM - N18.4
Nanoscale Studies of Structural Properties and Domain Polarization in BiFeO3 Thin Film-based Nanostructures.
Ramesh Nath 1 4 , Jeffrey Klug 1 5 , Seungbum Hong 1 , Alexandra Imre 2 , Bernd Kabius 3 , Michael Bedzyk 6 5 1 , Ram Katiyar 4 , Orlando Auciello 1 2
1 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States, 4 Institute of Functional Materials, University of Puerto Rico, San Juan, Puerto Rico, United States, 5 Department of Physics and Astronomy, Northwestern University, Evanston, Illinois, United States, 2 Center for Nanoscale Materials, Argonne National Laboratory, Argonne, Illinois, United States, 3 Electron Microscopy Center, Argonne National Laboratory, Argonne, Illinois, United States, 6 Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractBiFeO3 (BFO) is a room temperature ferroelectric antiferromagnet widely studied for its high polarization and Curie temperature for several device applications. For application in ferroelectric random access memories (FeRAMS), it is imperative to reduce the size of the ferroelectric capacitors (≤ 50 nm lateral dimension), leakage current and coercive field without losing polarization or thermal stability. Prior Piezoresponse Force Microscopy (PFM) studies on BFO nanostructures revealed a shape dependence (square vs. round) on the ferroelectric domain configuration, with square nanostructures exhibiting a single variant polarization domain configuration, whereas round ones exhibit seven domain configuration variants. Moreover, local d33 piezoelectric coefficient measurements showed hysteresis loops with a strong displacement in the voltage axis (strong imprint) for the square-shaped nanostructures, while the round-shaped ones exhibited more symmetric loops. However, these early results may have been influenced by ion-induced damage produced during via focused ion beam (FIB) fabrication of nanostructures. The work reported here focused on fabrication of BFO thin film-based nanostructures with limited or no damage, and characterization of the polarization domain and switching dynamics. Polarization domain and switching dynamics of BFO square, rectangular, and circular nanostructures with different sizes were investigated using PFM; structural properties were studied using Transmission Electron Microscopy (TEM), and synchrotron X-ray nanodiffraction and elemental fluorescence mapping (utilizing a sub- 50 nm focused x-ray beam spot at the Argonne-Advanced Photon Source). The nanostructures were fabricated using a combination of electron beam lithography and FIB techniques to pattern defect-free isolated structures as small as 100 nm, based on 40 nm epitaxial BFO film grown by magnetron sputter-deposition on SrRuO3 (SRO) electrode layers on single crystal SrTiO3 substrates. We fabricated square, rectangular and round structures with 200-500 nm dimensions, and different orientation (relative to the film in-plane crystallographic axes). We will discuss results that show relations between local strain and lattice rotation and effects on ferroelectric domain configuration of laterally confined nanostructures, as obtained by combined x-ray nanodiffraction and PFM studies. The work is supported by Institute of Functional Nanomaterials, University of Puerto Rico under the grant NSF-RII 07-01-525. This work was also supported by US Department of Energy, Office of Science, and Office of Basic Energy Sciences-Materials Science. Use of the Center for Nanoscale Materials and the Electron Microscopy Center was supported by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357.
12:30 PM - N18.5
Domain Configurations in Free-standing Symmetric and Asymmetric Structures.
Alina Schilling 1 , Marty Gregg 1 , James Scott 2
1 Physics Department, Queen's University Belfast, Belfast United Kingdom, 2 Earth Sciences Department, University of Cambridge, Cambridge United Kingdom
Show AbstractFerroelectric oxides are used in a wide range of applications, e.g. ferroelectric random-access memories in computers, accelerometers in airbags, inkjet heads in printers, telecommunication signal-processing devices and high-frequency devices for ultrasonic medical imaging, to name just a few. A general demand is for improving their functional properties even when decreasing their dimensions. Therefore it is important to understand what new processes are inherently dependent on minimising any or all three dimensions. In this context, symmetric and asymmetric single crystal structures at meso and nanoscale dimensions have been fabricated and characterised with the aim of gaining a better understanding of the domain configurations that occur in almost free-standing morphologically complex nanostructures. The Focused Ion Beam microscope has been used for milling and patterning these shapes from BaTiO3 bulk material. Domain configurations have been investigated by Scanning Transmission Electron Microscopy. A comparison between domain configurations in symmetric and asymmetric structures will be shown in detail in this presentation. An important observation is that we found one feature constantly present in both types of structures, after cooling through the Curie temperature: both symmetric and asymmetric structures have shown domain configurations arranged into quadrants, with each quadrant consisting of fine 90° stripe domains. The reason that these rather complex domain configurations form is uncertain, but we have considered three possibilities: first, that the quadrant features initially form to facilitate field-closure, but then develop 90° shape compensating stripe domains in order to accommodate disclination stresses; second, that they are the result of the impingement of domain packets which nucleate at the sidewalls of the dots forming “Forsbergh” patterns as a result of phase transition kinetics; and third, that 90° domains form to conserve the shape of the nanodot as it is cooled through the Curie temperature but arrange into quadrant packets in order to minimize the energy associated with uncompensated surface charges, thus representing an equilibrium state. While the third model has been the preferred one, we note that the second and third models are not mutually exclusive. For asymmetric structures, we have observed that by increasing the size of one lateral side in comparison to the other we can control the relative position of the quadrant feature. When patterning structures with aspect ratios of 1:1, 1:2 and 1:3, the relative position of quadrant centre was found to shift from 0.5 to 0.33 to 0.25 along the length of the rectangular bars respectively. We are currently performing finite element analysis and simulations in order to explain the single quadrant formation in these asymmetric structures.
12:45 PM - N18.6
A Road to Huge Displacement in Lead-free Multiferroic Films.
Jinxing Zhang 1 , Robert Zeches 2 , Pu Yu 1 , Jan Seidel 1 4 , Seungyeul Yang 1 , Bin Xiang 2 , Qing He 1 , Yinghao Chu 3 , Andrew Minor 2 , Ramamoorthy Ramesh 1 2 4
1 Physics, University of California, Berkeley, Berkeley, California, United States, 2 Materials Science, University of California, Berkeley, Berkeley, California, United States, 4 Materials Science, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Materials Science, National Chiao Tung University, HsinChu Taiwan
Show AbstractMaterials with compositions near morphotropic phase boundary (MPB) usually exhibit anomalously high electromechanical coupling response. The study of large electric-field-induced strain observed in some single crystals of relaxor perovskite [1, 2] and some ceramics [3] have been triggered by its importance in the electromechanical devices. [4] M. Ahart [5] observed the high pressure MPB in PbTiO3, breaking the traditional opinion about composition tuning MPB in a complex system. This opened a new field to explore the high performance, pure compound electromechanical materials. Therefore, it is of interest and importance to probe into the new possibilities of lead-free MPB in a simple system. According to our recent report, an intermediate epitaxial strain can stabilize the BiFeO3 crystal on a morphotropic phase transition between its tetragonal-like and rhombohedral-like symmetries. [6] For the first time, the lead-free multiferroic MPB in a simple system is driven by a non-chemical approach.However, although it is comparable to relaxor ferroelectrics, the observed ~2% strain accompanied by the phase competition was much lower than the expected value associated with the lattice deformation from rhombohedral (c=4.07 Å) to tetragonal (c=4.65 Å) symmetries. The reduced strain may be the result of the counterbalanced contribution in mixed phases and the substrate clamping effect. In this abstract, electromechanical coupling behaviors in BiFeO3 film near its MPB have been extensively studied. The piezoelectric coefficient (d33) in large area capacitors strongly depends on the structure of the film, in line with the previous understanding of lead-based piezoelectric crystals. The intrinsic d33 corresponding to the movement of phase boundary has been analyzed by localized switching. It was observed that the cantilever displacement is almost 4 times higher in the present material than the one in Pb(Zr0.2Ti0.8)O3 film, predicting its large phase-transition-induced d33. By removing the substrate clamping effect, ~10% remnant strain has been achieved in the island structure prepared by focus ion beam technique. This is very close to the expected value in the BiFeO3 film near its MPB and even comparable to the strain of well-known shape memory alloy [7]. The repeatability of the huge electric-field-induced strain, according to our preliminary results, demonstrates its super potential as a substitution of lead-based materials in the future micro-actuator.[1] B. Dkhil, et al., Phys. Rev. B 65, 024104 (2002).[2] B. Noheda, et al., Phys. Rev. Lett. 86, 3891 (2001).[3] B. Noheda, et al., Appl. Phys. Lett. 74, 2059 (1999).[4] Y. H. Xu, “Ferroelectric materials and their applications”, P. 109 (Amsterdam: North-Holland, 1991).[5] M. Ahart, et al., Nature 451, 545 (2008).[6] R. J. Zeches, M. D. Abrados, J. X. Zhang, et al., Accepted by Science, in press.[7] Xiaobing Ren, et al., Nature 387, 579 (1997).
N19: Nanostructured Oxide Synthesis and Characterization II
Session Chairs
Thomas Fischer
Lionel Vayssieres
Friday PM, April 09, 2010
Room 2001 (Moscone West)
2:30 PM - **N19.1
Domain Structures in Self-assembled Arrays of Magnetic Nanoparticles.
Sara Majetich 1 , Kazuo Yamamoto 2 , Chip Hogg 1
1 , Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 , Japan Fine Ceramics Center, Nagoya Japan
Show AbstractThe ability to prepare regular assemblies of single domain nanoparticles that act like giant spins provides an excellent model system for studies of surface, size, and dimensionality on magnetostatic interactions. Here we describe two examples, one using magnetic electronic microscopy, and the other using small angle neutron scattering.For nanoscale magnetic imaging, monolayers of surfactant-coated nanoparticles were self-assembled using Langmuir layer techniques. Fresnel Lorentz microscopy was used to study the magnetic domain structures as a function of temperature, from 24 to 605 °C. 13 nm diameter Fe3O4 nanoparticles with an edge-to-edge spacing of 2.5 nm form magnetic domains through magnetostatic interactions alone. At room temperature stripe domains were evident in monolayer arrays. The average domain size in monolayer regions is larger than that in bilayers. Mean field theories predict a reduced stabilization energy for bilayers, relative to that for monolayers. The domain wall positions were fairly stable up to 500 °C, though the contrast in the walls diminished, indicating reduced magnetic order. Above 500 °C there were large temperature-dependent changes. The walls surrounding the smaller domains disappeared at lower temperatures than those of the larger domains. Some magnetic contrast was visible up to 575 °C, close to the Curie temperature of Fe3O4 (585 °C). Transmission electron microscopy after cooling showed that the particle shape and position in the ordered arrays had been preserved during the high temperature imaging experiments.
3:00 PM - **N19.2
Synthesis and Applications of Magnetic Oxide Nanoparticles.
Raju Ramanujan 1 , V. Swaminathan 1 , S. Bhame 1 , P. Deheri 1 , D. Ang 1
1 , NTU, Singapore Singapore
Show AbstractMagnetic oxide nanoparticles play a vital role in diverse areas such as biosensors, magnetic transfection and the development of exchange coupled permanent magnets. In magnetic transfection, DNA complexed with polymer coated magnetic nanoparticles are used for enhanced gene delivery. In vitro studies of magnetic transfection in COS-7 cells using pEGFP-N1 and pMIR-REPORT complexed polyethylenimine coated magnetic iron oxide nanoparticles will be described. Magnetic transfection required much shorter incubation time, significantly less PEI for transfection and was suitable for high cell viability applications. Iron oxide nanoparticles were also used in a novel electrochemical amperometric immunosensor to detect biomarkers for prostate cancer diagnosis. Composite mixtures of iron oxide nanoparticles and carbon were used to fabricate electrodes; greater immobilization on iron oxide nanoparticles via physisorption, enhanced electrical conductivity and rate of electron transfer to the electrode was observed, offering an inexpensive and portable platform for cancer detection. Finally, synthesis of exchange coupled Nd-Fe-B/alpha-Fe exchange coupled magnetic nanoparticles with minimal oxidation is a key challenge which can be overcome by chemical synthesis of mixed oxide nanoparticles. Advantages include ease of elemental substitution, particles in the nanosized range and low reduction temperatures. Synthesis of oxide nanoparticles by the sol-gel process, microwave assisted hydrothermal synthesis, as well as glycine nitrate auto combustion of mixed metal nitrates has been carried out. Reduction-diffusion of these oxides results in Nd-Fe-B/alpha-Fe magnetic exchange coupled nanocomposite particles. The synthesis, characterization and properties of the oxides and magnetic nanoparticles will be described.
3:30 PM - N19.3
Tuneable Cobalt Ferrite Based Magnetic Fluids For MR Imaging Applications.
Gemma-Louise Davies 1 , Serena Corr 2 , Carla Meledandri 3 , Dermot Brougham 3 , Yurii Gun'ko 1
1 School of Chemistry and CRANN Institute, Trinity College Dublin, Dublin Ireland, 2 School of Physical Sciences, University of Kent, Canterbury, Kent, United Kingdom, 3 School of Chemical Sciences, Dublin City University, Dublin Ireland
Show AbstractThe field of magnetic nanostructures continues to attract attention due to the many potential applications of these materials, particularly in magnetic recording devices, biology and medicine. Cobalt ferrite (CoFe2O4) is one material which has been identified as being of significant interest, due to its excellent chemical stability, high magnetocrystalline anisotropy and good electromagnetic and mechanical properties. Polymers and bioactive polyelectrolytes (e.g. DNA) have been a popular choice of template for the preparation of self-assembled nanostructured materials, typically acting as stabilisers in the preparation of aqueous magnetic nanoparticle solutions. Recently, the formation of ordered nanowires of stabilised magnetite nanoparticles aligned in a magnetic field has been reported[1, 2]. These nanowire assemblies demonstrate good potential for use as MRI contrast agents. In our current work, we have prepared a new family of contrast agents, which are based on cobalt ferrite nanoparticles. This was achieved by the in situ synthesis and functionalisation of cobalt ferrite nanoparticles using commercially available polyelectrolytes which act as both templates for nanowire assembly and water stable surfactants. Nuclear magnetic resonance dispersion (NMRD) studies have shown that these cobalt ferrite nanomaterials have very high relaxivity values particularly at low field. A statistical study which allows the optimised preparation of variable sized cobalt ferrite nanoparticles has been carried out, which provides an easy-to-use map for the preparation of tailor made nanoparticles of cobalt ferrite of a pre-determined size. Such a design is of great value and importance, as it can provide an accurate and informative model of any preparative system where more than one parameter is considered important, as well as provide an estimate of experimental error. Our findings will enable us to develop new cobalt ferrite nanomaterials with a range of uses, including cancer hyperthermia therapy and MR imaging contrast agents. This research will also lead to more intensive exploration of cobalt ferrite based magnetic fluids and their future biomedical applications. [1] S. A. Corr, Y. Gun'ko, R. Tekoriute, C. J. Meledandri and D. F. Brougham, J. Phys. Chem. C 2008, 112, 13324-13327.[2] S. A. Corr, S. J. Byrne, R. Tekoriute, C. J. Meledandri, D. F. Brougham, M. Lynch, C. Kerskens, L. O'Dwyer and Y. K. Gun'ko, J. Am. Chem. Soc. 2008, 130, 4214-4215.
3:45 PM - N19.4
Structural Control of Anisotropic Iron Oxide Based Nanocrystal Heterostructures.
Hunter McDaniel 1 , Moonsub Shim 1
1 MatSE, UIUC, Urbana, Illinois, United States
Show AbstractIron oxide based nanocrystal heterostructures (NCHs) provide opportunities to synergistically combine the magnetic properties of Fe3O4 with size tunable optical properties of semiconductor quantum dots. Due to electronic and optical properties that are strongly dependent on size and shape, and in some cases, due to the necessity for physically and chemically accessing both components of the heterostructure (which may be achieved via enhancing anisotropy), the key step towards functional multi-component systems is the ability to control not only the size and shape but also spatial orientation of each component with respect to each other. In order to better understand growth mechanism leading to enhanced anisotropy in NCHs synthesized from nearly spherical seeds, we have examined various factors that contribute to structural diversification in Fe3O4/MS systems where M = Cd, Hg, Zn, Pb. Pseudo-separation of nucleation and growth allows us to quantify how the number of heterojunctions formed varies with concentration and the size of the seed nanocrystals. A careful examination of the Fe3O4/CdS system’s size dependence of the maximum number of CdS particles that can be nucleated per seed nanocrystal suggests strain induced limitations. By increasing the growth rate, we observe evolution of dots-on-dot structures to rods-on-dot structures without the need for rod promoting capping molecules. This technique can be extended to achieve more complex NCHs beyond the two-component systems. Optical properties of these Fe3O4/MS nanocrystal heterostructures will also be presented.