Symposium Organizers
Qinghuang Lin IBM T. J. Watson Research Center
James J. Watkins University of Massachusetts
Amit Goyal Oak Ridge National Laboratory
Jeffrey J. Urban Lawrence Berkeley National Laboratory
LL1: Nano-Patterning by Block Copolymers
Session Chairs
Qinghuang Lin
Christopher Ober
Tuesday PM, April 06, 2010
Room 3016 (Moscone West)
9:15 AM - **LL1.1
Orthogonal Approaches to Complex Block Copolymer Lithographic Nanostructures.
Craig Hawker 1 , Chuanbing Tang 1 , Luis Campos 1 , Brian Stahl 1 , Glenn Fredrickson 1 , Edward Kramer 1
1 , UCSB, Santa Barbara, California, United States
Show AbstractAn orthogonal approach to the creation of multiple nanoscale templates from a single supramolecular block copolymer system is presented. The enabling feature of this strategy is the design of block copolymers that incorporate independent degradation chemistries which permits each block copolymer to be addressed individually and sequentially. By blending a block copolymer containing H-bond donor groups and a UV-degradable domain with the complimentary copolymer containing H-bond acceptor groups and an acid-cleavable segment, diverse and tunable nanoporous thin films with different pore sizes and array patterns can be obtained. This robust strategy demonstrates the potential of combining orthogonal chemistry with the inherent tunability of supramolecular systems.
9:45 AM - **LL1.2
How Small Can You Go? Emerging Challenges and Opportunities in Nanoengineered Materials and Nanofabrication Methods.
Daniel Herr 1
1 , Semiconductor Research Corporation, Research Triangle Park, North Carolina, United States
Show AbstractToday’s perception that manufacturing costs and percent device variability will increase exponentially with scaling and functional diversification is pervasive. Projected requirements, such as: line edge roughness, long range dimensional and positional control, resolution, throughput, pattern fidelity, the ability to pattern useful shapes, and functional density increasingly challenge our ability to achieve reliable system performance. Extensible fabrication options are needed that enable: Sustainable, centered, low-variability fabrication technologies; new cost curves for nanoelectronics fabrication; and enhanced system value through integrated functional diversification. An optimal manufacturing strategy will reflect the convergence between application and design specific requirements and a synergistic set of material and assembly options. This talk will consider emerging research material and nano-fabrication opportunities that exhibit potential for satisfying projected International Technology Roadmap for Semiconductor (ITRS) requirements and enable extensible nanofabrication. It will include an update on the need for and status of ITRS Emerging Research Materials, such as smart resists, self assembling systems, and environmentally benign, high performance materials and processes. This talk also will explore emerging synergistic opportunities in functional diversification, which exhibits potential for enabling enhanced functional density on a CMOS platform.
10:15 AM - **LL1.3
Functional Nanostructures from Metal-containing Block Copolymers and Living Crystallization-driven Lymerizations.
Ian Manners 1
1 Chemistry, University of Bristol, Bristol United Kingdom
Show AbstractThe introduction of metal centers can introduce a wide range of additional functionality to polymeric and supramolecular materials. This talk will focus on work by ourselves and collaborators on the thin film and solution self-assembly of metal-containing block copolymers such as polyferrocenylsilane (PFS)-based systems. For example, as we have shown in collaboration with M.A. Winnik and coworkers, crystallization of core-forming blocks in solution provides a method to direct self-assembly towards unexpected morphologies with low interfacial curvature. In addition, the use of crosslinking strategies allows the fabrication of robust cylindrical nanostructures with interesting potential applications. The recent discovery of living crystallization-driven supramolecular polymerizations will also be described. This type of process can permit the spatial control of functionality as well as access to new types of well-defined supramolecular architectures such as block co-micelles.
10:45 AM - LL1: BCP
BREAK
11:00 AM - **LL1.4
Directed Self-oriented Self-assembly of Block Copolymers: Bottom-up Meeting Top-down.
Thomas Russell 1 , Dong Hyun Lee 1 , Sung Woo Hong 1 , Bokyung Kim 1 , Shuaigang Xiao 2 , Ting Xu 3
1 Polymer Science & Engineering, University of Massachusetts, Amherst, Massachusetts, United States, 2 , Seagate Technology, Fremont, California, United States, 3 Materials Science and Chemistry Department, University of California at Berkeley, Berkeley, California, United States
Show AbstractAs the size scale of device features becomes increasingly smaller, conventional lithographic processes are limited. Alternative routes need to be developed to circumvent this hard stop. Ideally, if existing technological processes can be used with novel materials, significant advances can be made. Block copolymers (BCP), two polymer chains covalently linked together at one end, provide one solution. BCP self-assemble into a range of highly-ordered morphologies and by controlling the orientation and lateral ordering of the nanoscopic microdomains, numerous applications will emerge. By combining the “bottom-up” self-assembly of BCPs with “top-down” micro-fabrication processes faster, better and cheaper devices can be generated in very simple, yet robust, ways. By combining confinement effects with the highly directional field inherent in solvent evaporation and the mobility imparted to the BCP by the solvent, perfectly registered arrays of hexagonally packed block copolymer microdomains can be produced on surfaces at least 3x3 cm2 in area with areal densities in excess of 10 terabit/inch2. Registry of the arrays and the perfection of the ordering over macroscopic distances were demonstrated by grazing incidence small angle x-ray scattering and scanning force microscopy. This approach circumvents registry constraints and excessive writing times inherent in e-beam lithographic processes over macroscopic length scales and presents a simple route to addressable patterned media.
11:30 AM - **LL1.5
Directed Self-assembly for Lithography Applications.
Joy Cheng 1 , Daniel Sanders 1 , Charles Rettner 1 , Young-Hye Na 1 , Jed Pitera 1 , Hoa Truong 1 , Noel Arellano 1 , Alexander Friz 1 , Ho-Cheol Kim 1 , William Hinsberg 1 , Stefan Harrer 2 , Steven Holm 2 , Matthew Colburn 2 , Kafai Lai 3 , Da Yang 3 , Wai-kin Li 3
1 Almaden Research Center, IBM, San Jose, California, United States, 2 Albany Nanotech, IBM , Albany, New York, United States, 3 Semiconductor Reseach and Development Center, IBM , Fishkill, New York, United States
Show AbstractEconomics dictated that semiconductor devices need to be scaled approximately to 70% linearly in order to follow the pace of Moore’s law and maintain cost effectiveness. Optical lithography has been the driving force for scaling; however, it approaches its physical limit to print patterns beyond 22nm node. Directed self-assembly (DSA), which combines “bottom-up” self-assembled polymers and “top-down” lithographically defined substrates, has been considered as a potential candidate to extend optical lithography. Benefit from nanometer-scale self-assembly features and the registration precision of advanced lithography, DSA provides precise and programmable nanopatterns beyond the resolution limit of conventional lithography. We have demonstrated DSA concepts including frequency multiplication and pattern rectification using guiding prepattern with proper chemical and topographical information generated by e-beam lithography. In addition, we seek to integrate DSA with 193 nm optical lithography in a straightforward manner in order to move DSA from the research stage to a viable manufacturing technology. Recently, we implemented various integration strategies using photolithography to produce guiding patterns for DSA. This new ability enables DSA to be applied to large areas with state-of-the-art lithography facilities.
12:00 PM - LL1.6
Anionic Polymerization of Silicon-containing Diblock Copolymers.
Christopher Bates 1 , Jeffrey Strahan 1 , Brennen Mueller 2 , Morgan Schulze 2 , Christopher Ellison 2 , C. Grant Willson 1 2
1 Chemistry, University of Texas at Austin, Austin, Texas, United States, 2 Chemical Engineering, University of Texas at Austin, Austin, Texas, United States
Show AbstractThe improvement in areal density in hard disk drives using conventional multigrain media is currently bound by the superparamagnetic limit. Bit-patterned media can circumvent this limitation by creating isolated magnetic islands separated by a nonmagnetic material. Nanoimprint lithography is an attractive solution for patterning the hard disks if a template can be created with sub-10 nm features. Resolution limits in optical lithography and the prohibitive cost of electron beam lithography due to slow throughput necessitate a new template patterning process. The self-assembly of diblock copolymers into well-defined structures on the order of 5-100 nm produces features on the length scale required for imprint templates and provides an alternative template patterning approach assuming appropriate etch selectivity can be achieved. This paper describes the synthesis and characterization of a diblock copolymer using a silicon-functionalized isoprene monomer for etch resistance.
12:15 PM - LL1.7
Discrete Nanopatterns from Block Copolymer Self-assembly.
Ho-Cheol Kim 1 , Sang-Min Park 1 , Charles Rettner 1 , Jed Pitera 1
1 , IBM Almaden Research Center, San Jose, California, United States
Show AbstractSelf-assembled block copolymers in thin films have been studied extensively partly due to their potential to provide a route to nanoscopic surface patterns alternative to conventional photolithography. The length scales of microdomains of block copolymers, which range typically 10 nm to 50 nm, are indeed very attractive for future technology generations of semiconductor devices. Tremendous progress has been made for controlling the orientation and the lateral placement of microdomains of block copolymers as a result of numerous research groups’ effort. While continuous periodic patterns with long range order are useful for certain applications, to create discrete surface patterns are desirable for device fabrications as well. In this paper, we report a simple and effective method to control the placement of lamellar microdomains of block copolymers which provides discrete surface patterns. By depositing controlled thickness of block copolymer thin films on topographic prepatterns, we could creates line patterns with desirable length at precisely controlled positions on substrates. Detailed control parameters will be discussed based on polymer physics on thin films of block copolymers deposited on various surface characteristics of topographically patterned substrates.
12:30 PM - **LL1.8
The Intersection of Lithography and the Directed Assembly of Block Copolymers.
Paul Nealey 1
1 , University of Wisconsin, Madison, Wisconsin, United States
Show AbstractThrough fundamental understanding of the physics and chemistry of interfacial phenomena associated with equilibrating block copolymer materials in the presence of lithographically defined chemically nanopatterned substrates, we seek to synthesize and assemble such materials to enable fabrication at length scales (3-20 nm) not possible with current materials and processes. Essential attributes of existing manufacturing practices must be retained, depending on the application, and may include pattern perfection, registration and overlay, and the ability to pattern device-oriented geometries. The underlying motives for pursuing directed assembly of block copolymers for patterning are resolution enhancement and precise control over the shapes and dimensions of patterned features.Here we experimentally and theoretically investigate the structure of the block copolymer film as a function of the interfacial energy between the blocks of the copolymer film and the regions of the lithographically-defined chemical pre-patterns. In all cases, assembly is undertaken under conditions in which the density of features in the domain structure of the film is greater than that of the chemical pre-pattern. For cylinder-forming polymers, with the domains oriented perpendicular to the substrate, we report the interplay between block-pattern interfacial energy, defects, density multiplication factor, material and pattern geometrical commensurability, and regularity of domain size and location. For lamellae-forming systems, we present the phase diagram of bulk- and non-bulk-like morphologies as a function of block-pattern interfacial energy and pattern geometry. Under conditions in which domains are oriented perpendicular to the substrate and define grating structures, we further elucidate the interfacial energy parameters for desired assembly. For more complex device-oriented patterns, we delineate which features can and can not be assembled with resolution enhancement.Finally, we report an approach for replicating geometrically complex patterns over macroscopic areas with feature dimensions below 15 nm, and the ability to replicate the same pattern, including those defined lithographically, multiple times. The technique, called molecular transfer printing (MTP), takes advantage of presenting patterns in the domain structure at the surface of block copolymer films, and transfers those patterns with high fidelity and degrees of perfection to substrates placed in contact with the copolymer film.
LL2: Nano-Patterning with Block Copolymers: Electronic and Data Storage Applications
Session Chairs
James Watkins
Philip Wong
Tuesday PM, April 06, 2010
Room 3016 (Moscone West)
2:30 PM - **LL2.1
High Density Data Storage Using Patterned Magnetic Media.
Mark Re 1
1 , Seagate Technology, Fremont, California, United States
Show AbstractAn ever-growing demand for data storage has forced the magnetic storage industry to develop new technologies to replace the current perpendicular magnetic recording (PMR). One of the leading technological candidates for extending conventional PMR areal density beyond 1 Terabit/in.2 is bit-patterned media (BPM), in which magnetic nano-arrays at sub-25 nm scale are fabricated and where each “island” in the array forms a separate magnetic recording bit. Patterned media overcomes the thermal stability issues of conventional PMR recoding by implementing single domain magnetic islands for each bit of recorded information. Obviously, there are many challenges in patterned media fabrication. Conventional wisdom envisions a manufacturing process that involves fabricating a “perfect” master template using advanced electron beam lithography and from which tens of thousands of disks are replicated by nanoimprint lithography technology. The imprinted patterns are then transferred into disks by using either a subtractive (etch or ion milling) or an additive (plating or deposition) process, thus forming sub-25 nm periodic arrays of isolated magnetic islands on hard disk drives. Besides the requirements for very precise patterning process, high throughput and manageable costs are also critical for this technology to be of value to manufacturing.During this talk we will present our progress in four areas. Firstly, we will show that high-resolution direct e-beam lithography is capable to fabricate resist patterns up to 4.5 Terabit/in.2 Secondly, we will introduce a novel processing approach with improved manufacturability for imprint templates at data densities exceeding 1 Terabit/in.2 using directed self-assembly of block copolymers. We will demonstrate that block copolymers can be guided on a pre-patterned surface to achieve truly long-range order over an arbitrarily large area. Materials with ultra-small (sub-10 nm) domains are available, making self-assembled block copolymer templates with areal densities as high as ~10 Terabit/in.2 highly feasible. Next, we will show results for fully formed dot-arrays on discs at areal densities exceeding 1 Terabit/in.2, such as switching field distributions, tight size uniformity, and positioning accuracy. Finally, we will report on initial recording experiments on a spinstand. During our discussion we will highlight key challenges and how these relate to system integration issues (such as write synchronization), defect control, and ultimately manufacturing cost.
3:00 PM - **LL2.2
Directed Self-assembly of Block Copolymers for Nanolithography.
Caroline Ross 1 , Kevin Gotrik 1 , Jeong Gon Son 1 , Yeon Sik Jung 1 , Vivian Chuang 1 , Rafal Mickiewicz 1 , Alfredo Alexander-Katz 1 , Jae Byum Chang 2 , Joel KW Yang 2 , Karl Berggren 2 , Jessica Gwyther 3 , Ian Manners 3
1 Dept. Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 2 Dept. Electrical Engineering and Computer Science, MIT, Cambridge, Massachusetts, United States, 3 Dept. Chemistry, University of Bristol, Bristol United Kingdom
Show AbstractThin films of microphase separated block copolymers, which can form patterns consisting of dense arrays of lines, dots, rings and other geometries with periodicity of ~10 – 100 nm, are attractive materials for self-assembled nanoscale lithography. In this application, it is desirable to use blocks with a high Flory-Huggins interaction parameter to enable formation of small period features with low edge roughness, and for the blocks to exhibit a high etch selectivity and for one block to have a high etch resistance, which simplifies pattern transfer. These conditions can be met by block copolymers consisting of both an organic block and an organometallic block containing Si or Fe. Here we discuss nanolithography applications of organic-organometallic diblock copolymers and triblock terpolymers, which include polystyrene (PS), polyisoprene (PI), poly(2-vinylpyridine) (P2VP), polyferrocenylsilane (PFS) and polydimethylsiloxane (PDMS). We first show how spherical and cylindrical microdomain arrays can be templated using either shallow steps or small posts and other features patterned using electron-beam lithography. For example, the locations of 40 nm period spherical PDMS microdomains in PS-PDMS were controlled by a sparse array of posts, allowing templating of up to 20 microdomains per post to form large area dot arrays with excellent order. Linear patterns were formed from 32 nm period cylindrical morphology PS-PDMS templated using topographical posts or steps, to form arrays of straight parallel cylinders with controllable period and orientation, arrays with angles or junctions, or sharply curved, concentric toroidal structures. The resulting structures agree with predictions of a model based on self-consistent field theory. We then discuss the formation of self-assembled patterns with sub-20 nm periods and sub-10 nm feature sizes. For example, linear features of 8 nm linewidth and 17 nm period with excellent order were obtained from films of PS-PDMS of molecular weight 16 kg/mol which were solvent-annealed at room temperature. Finally, we describe pattern formation in PI-PS-PFS and PS-PFS-P2VP triblock terpolymers, to form respectively square arrays of dots and close-packed arrays of rings. In the former case, the square symmetry arrays were templated within topographical steps and the direction of the axes of the square lattice with respect to the step edges was controlled by functionalizing the template with hydroxy-terminated homopolymer brushes. Patterns were transferred into a range of metals by overcoating the block copolymer patterns with a metal film, then etching back using a combined chemical and physical reactive ion etch to leave a reverse-contrast image. Transfer into silica and into other polymer layers was accomplished using reactive ion etching. Device applications, including the fabrication of interconnect lines, silicon nanowires, patterned media, and conductive polymer sensors, will be described.
3:30 PM - **LL2.3
Nanofabrication of Templates for Bit Patterned Media: From Block Copolymer Patterns to Uniform Magnetic Bits.
Ricardo Ruiz 1 , Joan Bosworth 1 , Elizabeth Dobisz 1 , Olav Hellwig 1 , Franck Rose 1 , Dan Kercher 1 , Kanaiyalal Patel 1 , Thomas Albrecht 1
1 San Jose Research Ctr., Hitachi GST, San Jose, California, United States
Show AbstractSince the demonstration of feature density multiplication by directed assembly, block copolymer patterns are considered as one of the main candidates to achieving the feature sizes and densities required for the fabrication of bit patterned media (BPM) templates. The lithography for BPM calls for small features with densities in excess of 1Tdot/in2, dimensions that stay ahead of the conventional semiconductor roadmap, presenting unprecedented challenges with additional demanding restrictions due to the specifications set forth by the particular aspects of hard disk drive architecture.Bit patterned media lithographic specifications start with pattern generation at sub-lithographic resolution of highly uniform features with tight translational order. A hard disk drive application also calls for a pattern generation method that can deliver features on circular tracks with a constant angular translation. The feature density multiplication and pattern rectification demonstrated by directed assembly provide a method to enhance the capabilities of e-beam lithography enabling higher resolution with improved pattern quality and a reduction of writing time. Directed assembly also provides with a flexible platform to direct patterns within patterned media specifications such as patterns on circular tracks with high placement accuracy and constant angular translation affording a path to master template fabrication in time for patterned media technology. Nanofabrication challenges towards bit patterned media, however, reach far beyond pattern formation. Feature size uniformity and placement accuracy need to be preserved all the way from pattern generation to pattern transfer to the fabrication of magnetic media in order to afford a precise write synchronization with low bit error rate. Here, we will review the nanofabrication challenges faced at all stages from block copolymer pattern formation to the nanofabrication of uniform magnetic bits. We will show that, even though it represents a major departure from the semiconductor lithography roadmap, a combination of e-beam lithography with block copolymer stands as one of the most viable candidates to nanoimprint templates for BPM technology.
4:00 PM - LL2: BCP-APP
BREAK
4:30 PM - **LL2.4
Striving for Sub-30 nm Resolution: Harnessing Directed and Self Assembly.
Christopher Ober 1
1 Materials Science & Engineering, Cornell University, Ithaca, New York, United States
Show AbstractOne of the great challenges in lithography today is to create reliable, reproducible patterns smaller than 30 nm. At issue is the image perfection and ease of production, since electron beam lithography can already accomplish this. Optical lithography is faced with the challenge of pattern formation with minimal edge defects, caused in many cases, by the local diffusion of photoactive compounds required for pattern formation. Self-assembly in contrast uses the inherent ability of certain materials such as block copolymers to organize into structures below this 30 nm value. However, challenges remain including the introduction of long-range order and arbitrary shape formation. This presentation will discuss two approaches to high-resolution patterning. In one example, the use of molecular glasses, low molecular size organic glass formers, will be discussed. A variety of glass architectures will be reported including glasses based on branched, ring and disc-like units. The impact of materials and process on line edge perfection will be described. In a second example, block copolymers (principally poly(alpha-methyl styrene)-b-poly(hydroxy styrene), which can be photopatterned and are intrinsically patternable to 20nm or less, and the production of long-range order will be described.
5:00 PM - **LL2.5
Self-assembled Contact Holes for CMOS Device Fabrication Using Block Copolymer Lithography.
Li-Wen Chang 1 , Yang Hong 1 , Albert Lin 1 , Xinyu Bao 1 , H.-S. Philip Wong 1
1 , Stanford University, Stanford, California, United States
Show AbstractThe need for smaller and faster devices has continuously driven the evolution of optical lithography in the semiconductor industry. As optical lithography is reaching its physical and practical resolution limits, double patterning technique, which extends the resolution capability of current lithography tools, has been used by manufacturers in 45nm and 32nm nodes. Meanwhile, block copolymer lithography has received extensive attention due to its simplicity and cost effectiveness for realizing nanoscale features below 20nm, and even down to 5nm. Combining double patterning technique with the second layer by block copolymer, one can get the benefits of printing larger features (the first pattern) easily by optical lithography, and use those as the guiding patterns to get the minimum feature size rendered by the block copolymer. Since the overlay accuracy is determined by the block copolymer system used, it can be predicted precisely providing the first layer is printed within spec. Due to this ability to use coarse patterns (by optical lithography) to define finer patterns (by block copolymer lithography), it offers an immediate opportunity to achieve resolution below 20 nm in the device fabrication without the need to address the technical challenges of expensive next-generation lithography technologies. Printing contact holes is one of the key challenges in advanced technologies. Block copolymer has recently been used for fabricating top-gated FETs and CMOS inverters with self-assembled contact holes. This demonstration has further raised the interest of printing self-assembled contact holes for SRAM application. Given the high density and regularity of the SRAM layout it is very suitable for block copolymer lithography. By using double patterning of optical and block copolymer lithography we can expect to reach the requirement by ITRS without the need to change the lithography infrastructure. To enable the use of block copolymer in manufacturing and facilitate layout design, a knowledge-base of block copolymer design rules need to be established. We will outline our latest effort in this area.
5:30 PM - LL2.6
Self-assembled Pattern Density Enhancement by Block Copolymer Lithography Integrated With Conventional ArF/I-line Photolithography.
Sang Ouk Kim 1
1 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractBlock copolymer lithography have been considered an ultimate nanolithographic process, which ensures ultrafine pattern resolution as well as parallel mass-production. Nevertheless, integrating block copolymer lithography into conventional lithographic process has been a long-standing technological challenge. In this presentation our recent research achievement in integrating block copolymer self-assembly with conventional lithography, including 193 nm ArF lithography, I-line lithography and microscale thermal imprinting, will be demonstrated. Asymmetric block copolymer blends films were spontaneously assembled upon chemical stripe patterned surfaces prepared by 193 nm ArF lithography (pattern pitch: 143 nm), and created linear arrays of vertical cylinders with 20 to 30 nm scale feature sizes (Adv. Mater. 19, 3271, 2007; Soft Matter, invited, online-published). Block copolymer films were assembled within the topographic confinement of photoresist patterns, generated by I-line lithography (pattern pitch: ~ 1 micron), and exhibited various highly ordered nanoscale morphologies (Nano Lett. 9, 2300, 2009). Thickness modulated symmetric block copolymer films prepared by micro-thermal imprinting revealed spontaneous lamellar alignment along thickness gradient (Adv. Mater. 20, 2303, 2008; Adv. Funct. Mater. 19, 2584, 2009). These self-assembled resolution enhancement techniques combining top-down and bottom-up approaches, afford straightforward routes to highly ordered sub-30 nm scale features via conventional lithography. Several nanofabrication techniques for nanopatterned metals, oxides, and carbon nanomaterials based on the block copolymer lithography will be presented also (Adv. Mater. 20, 1898, 2008; Nano Lett. 9, 1427, 2009).
5:45 PM - LL2.7
Enabling Chemistry for Fabrication of Large-Area, Semiconducting Nanoperforated Graphene by Block Copolymer Lithography.
Myungwoong Kim 1 , Nathaniel Safron 1 , Eungnak Han 1 , Michael Arnold 1 , Padma Gopalan 1
1 , University of Wisconsin-Madison, Madison, Wisconsin, United States
Show Abstract Block copolymer (BCP) thin films with vertical orientation of microdomains are of considerable interest as one of the microdomains can be easily removed resulting in a patterned structure such as nanopores or nanochannels. Vertical orientation of the microdomains in a BCP thin film can be achieved by surface modification to tune the interfacial energies. We have synthesized a new type of copolymer to control the interfacial energies for the assembly of BCPs with both small and large differences in surface energies of the blocks in thin films. The surface modifying copolymer has a distribution of a third polar monomer which is capable of multi-point anchoring via side groups to an oxide surface or photo-crosslinking with itself. The photocrosslinkable copolymers are especially useful as an energetically non-preferential surface can be deposited on atypical substrates such as gold, Pt, MgO, III-V semiconductors, and wide band gap semiconductors. We will present studies on the relationship between the composition of these surface modifying copolymers, the composition of the overlying BCP equilibrated on these surfaces and the resulting morphology. We have recently used this chemistry to pattern graphene. Scientific and technological interest in graphene has rapidly grown recently because of the extraordinary electronic properties of the two-dimensional material. Unfortunately, however, despite its excellent charge transport characteristics, the applicability of graphene in many electronic applications is currently limited because graphene does not have a technologically significant band gap. To address this problem, it has been shown that quantum confinement effects can be used to open up a band gap in graphene. In this work, we demonstrate an approach for nanopatterning graphene using BCP lithography that addresses both of these resolution and scalability challenges. BCP lithography can be facilely scaled to batch-process multiple, large-area substrates in parallel while simultaneously achieving the high-fidelity patterning of exceptionally small features < 10 nm. A cylinder forming diblock copolymer is assembled on top of graphene and the resulting nanoscale hexagonal pattern is transferred into the underlying graphene via selective and controlled etching resulting in honey comb or nanoperforated graphene structures. The photo-crosslinkable neutral brush chemistry that we have developed was adapted to graphene to achieve vertical orientation of the BCP domains. We will present the details of the fabrication of nanoperforated graphene using BCP lithography resulting in sub-20 nm features, control over edge states and their characterization by x-ray photoelectron spectroscopy and Raman spectroscopy. Our results show that by nanopatterning the graphene, its electronic structure and properties can be modulated resulting in the opening of an effective energy gap of ~100 meV.
LL3: Poster Session: Directed Assembly and Self Assembly
Session Chairs
Tuesday PM, April 06, 2010
Exhibition Hall (Moscone West)
6:00 PM - LL3.1
Preparation and Characterization of Conjugated Polypseudorotaxanes Polypyrrole/Cyclodextrins.
Yu jie Chen 1 , Wei Wu 1 , Lixing Luan 1 , Wei guang Pu 1 , San xiong He 1
1 , East China University of Science and Technology, Shanghai China
Show AbstractConjugated polypseudorotaxanes polypyrrole/α-cyclodextrin and polypyrrole/β-cyclodextrin (PPy/α-CD and PPy/β-CD) were synthesized by chemical oxidative polymerization of pyrrole in the presence of α-CD and β-CD respectively, using hydrophobic binding to promote threading of the cyclodextrin units. The FT-IR spectra and wide-angle X-ray diffraction patterns verified that pyrrole-CD (Py-CD) rotaxanes were presented in the polypyrrole (PPy) chains. From SEM characterization, neat PPy and PPy/β-CD exhibit agglomerated and spherical morphology, while PPy/α-CD possesses typical dentritic structure with favorable orientation. The diameter of PPy/α-CD conjugated polypseudorotaxane wire equaled about 200 nm. Based on elemental analysis, the molar ratio of α-CD to pyrrole was 1:5 and β-CD to pyrrole was 1:24. Owing to the irregular Py-CD rotaxanes, the conductivity of PPy/CDs was decreased by one order of magnitude compared to PPy. The PPy/CDs exhibited reduced redox reversibility, as confirmed by an obvious shift of oxidation peak and a lower current in the voltammograms. The starting decomposition temperature of PPy/α-CD was increased by 60°C, indicating the superior thermal stability of polypseudorotaxanes through thermogravimetric analysis (TGA). The mechanism for threading and unthreading between of CDs and PPy chains in the polymerization was also proposed in this work. To conclude, remarkable characteristics of polypseudorotaxane PPy/CDs were studied in this paper, which could promise its potential in application as molecular wire.
6:00 PM - LL3.10
A Facile, One-pot Synthesis of Polyaniline-Au Ultralong Nanowires and Electric Field-directed Assembly Toward Organic Electronic Devices.
Nam-Jung Kim 1 , Jian Jiao 2 , Jae Wan Kwon 2
1 Mechanical and Aerospace Eng., Univ. of Missouri, Columbia, Missouri, United States, 2 Electrical and Computer Eng., Univ. of Missouri, Columbia, Missouri, United States
Show AbstractWe present a novel self-assembly phenomenon to form ultralong, uniform polyaniline (PANI)-Au composite nano-ribbons and nanowires (NWs). PANI nanostructures have been drawing huge attention due to their unique physical/chemical properties and a variety of technological uses in flexible nano electronics, memory devices, photovoltaic cells, and conductive coatings. While pure PANI films or nanofibers produced by chemical or electrochemical oxidation process have been intensively studied, more interesting results can be explored by incorporating metallic nanoparticles with the polymer materials. However, most of the prior attempts could produce relatively short (about only a few µm) 1-D nanotubes or fibers without a long-range ordering. Very recently, we have successfully produced highly-ordered, ultra long PANI-Au nano-ribbons through an anisotropic self-assembly growth during a bi-phase polymerization process. To our best knowledge, this is the first time to report such a long-range 1-D nanostructure of conducting polymers as a result of synergistic effects from Au interacting with PANI as a form of core-shell nanostructures. Au ions/atoms not only oxidize the aniline monomers at the organic/water interface but also interact with intermediate PANI in a site-specific manner. The nanometer-thick ribbons appear a few micrometers wide and hundreds of microns long with no sign of microscopic defects. Some ribbons exhibit the multi-layered identical nanostructures similar to graphene layers. The band folding, bending, peeling and splitting are consistently observed, which is characteristic of the flexible ribbon structure. Individual nano-ribbon contains many-fold Au-enriched NWs evident in SEM and AFM images. Each Au NW parallel to a long axis of the ribbon shows a superb uniformity in diameter over a long distance, while the diameters of different Au NWs can vary by orders of magnitude. Confocal Raman studies also demonstrate the chemical/molecular uniformity of PANI-Au NWs along the axis. Underlying growth mechanisms of PANI-Au nanostructures are proposed. The AC-field-induced assembly of nano-ribbons/NWs between metal electrodes is investigated while various designs of micro-electrodes and the AC voltage bias/frequency are tested. PANI composite NWs can grow and align themselves to bridge the gap by simply drop-depositing core-shell colloidal sample solution on the micropatterned substrate under the presence of E-field. This work combining bottom-up and top-down approaches will provide an excellent example how nanoscopic materials can be effectively integrated into existing microscopic patterns and hence the usefulness of nanomaterials can be significantly increased.
6:00 PM - LL3.11
Gold Nanoparticles Assemblies Induced by Statistical Copolymers: Effect of Particle Curvature on Shell Hydration.
Celine Durand-Gasselin 1 , Nicolas Lequeux 1 , Nicolas Sanson 1
1 Ecole Superieure de Physique et de Chimie Industrielles, UMR7615 UPMC-ESPCI-CNRS, Paris France
Show AbstractEnd functionalized statistical copolymers, poly(ethylene oxide-st-propylene oxide) were grafted on surface of gold nanoparticles and thermosensitive gold nanoparticles were directly prepared. The reversible aggregation of gold nanoparticles, which take place at the transition temperature of the polymers, can be tuned in a range of temperature from 4° to 60°C. First, amino-terminated amphiphilic copolymers with different ethylene oxide to propylene oxide ratio were modified by thiolic acid which allow ensure an excellent steric stabilization of gold nanoparticles. Then, amphiphilic thermally responsive gold nanoparticles were prepared either by two step synthesis (ligand exchange) or one step synthesis i.e. direct reduction of gold source in presence of stabilizing copolymers. Dynamic Light Scattering (DLS), UV-vis spectroscopy and Transmission Electron Microscopy (TEM) were used to characterize gold nanoparticles alone and the reversible behavior of thermosensitive polymer-coated gold nanoparticles.The main parameters controlling the gold nanoparticles assemblies were identified: the ethylene oxide to propylene oxide ratio, the ionic strength of the solution and the curvature of gold nanoparticles. An elegant result is the possibility to tune the aggregation temperature (from 20 to 4°C) of gold nanoparticles coated by the same polymer only by changing the curvature of the gold nanoparticles and so the size (from 2 to 15 nm). This temperature change versus the curvature of the gold nanoparticle is ascribed to the decrease in hydration volume per hydrophilic group in the corona because of the change of the conformation of the polymer with changing the size of the particle. Moreover, one unique aggregation temperature (between 12 and 60°C) can be also obtained by mixing copolymers with different ethylene oxide to propylene oxide ratio in gold nanoparticles synthesis.
6:00 PM - LL3.12
Self-assembly of Curved Nanostructures.
Jeong-Hyun Cho 1 , Teena James 1 , David Gracias 1
1 Department of Chemical and Biomolecular Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractIn order to fabricate a large number of functional elements such as inductors, grippers and coils, it is necessary to be able to simultaneously pattern and curve structures in three dimensions. We describe a process involving the induction of extrinsic stress in thin films during plasma processing to cause them to curve with radii of curvature as small as 20 nm. E-beam lithographically patterned templates with a range of shapes and material compositions (metallic and dielectric) were self-assembled into patterned nanotubes and nanospirals. We discuss the geometric effects of this assembly process as well as applications of these curved nanostructures.
6:00 PM - LL3.13
Stratification of Spin-assisted Layer-by-layer Assembly Studied With Neutron Reflectivity.
Eugenia Kharlampieva 1 , Veronika Kozlovskaya 1 , Jennifer Chan 2 , John Ankner 3 , Vladimir Tsukruk 1 2
1 Materials Science & Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Polymer and Fiber Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 Spallation Neutron Source, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractPolyelectrolyte layer-by-layer (LbL) films present a novel class of nanostructured materials obtained through alternating self-assembly of polyelectrolytes at solid-liquid interfaces. A variety of potential applications in biological and materials sciences urge a better understanding of the fundamentals of the self-assembly and post-assembly response. We apply neutron reflectivity to probe the internal structure of spin-assisted layer-by-layer (LbL) films composed of electrostatically assembled polyelectrolytes. We find that the level of stratification and the degree of layer intermixing can be controlled by varying the type and concentration of salt during LbL assembly. We observe well-defined layered structure in spin-assisted LbL films when deposited from salt-free solutions. These films feature 2-nm-thick bilayers, which are ~3-fold thicker than those in dipped LbL films assembled under similar conditions. Addition of a 10mM phosphate buffer promotes progressive layer inter-diffusion with increasing distance from the substrate. However, adding 0.1 M NaCl to the phosphate buffer solution restores the film stratification. We also find that spin-assisted LbL films obtained from buffer solutions are more highly stratified as compared to the highly intermixed layers seen in dipped LbL films assembled from buffer. Our results yield new insight into the mechanism of spin-assisted LbL films which is crucial for their performance.
6:00 PM - LL3.15
Sub-micron Patterning of Colloidal Particles by Confinement of Drying Solutions in Wrinkles.
Alexandra Schweikart 1 , Moritz Tebbe 1 , Andrea Fortini 2 , Alexander Wittemann 1 , Matthias Schmidt 2 , Andreas Fery 1
1 Chair of Physical Chemistry, University of Bayreuth, Bayreuth Germany, 2 Chair of Theorethical Physics, niversity of Bayreuth, Bayreuth Germany
Show AbstractWe present a novel technique to structure colloidal particles out of solution into lines of particles or quasi-1d-colloidal crystals of higher complexity. Patterned deposition of particles over large areas of hydrophilic substrates occurs, when a wrinkled Poly(dimethyl siloxane) (PDMS) stamp is placed onto a drop of colloidal suspension during drying, termed “wrinkle-assisted solution-embossing” (WASE). Upon conformal contact between the wrinkled PDMS and the substrate, liquid filled channels are formed. These act as confinement during the drying process and capillary forces ensure a close packing of particles in the channels. The characteristics of WASE that differentiate it from prior procedures are the use of a completely lithography-free fabricated stamp down to nanometer sizes as a key component of a mold, its tunable geometry and unique sinusoidal shaped channels which have, to our knowledge not been studied as confining geometry in micromolding. The width and spacing of the channels and consequently of the particle patterns can be chosen between fractions of a micron and many micrometers. Depending on the ratio of particle diameter to channel width, we observe a rich variety of morphologies. In addition to the geometric confinement we show, how a supplemental steric confinement can be introduced by increasing concentration of the colloidal suspension leading to different self-assembly of the nanostructures.
6:00 PM - LL3.16
Facile One-pot Synthesis of Multi-functional Hybrid Silica (MHS) Particles and Their Self-assembly to 3-Dimensional Structure.
Chan-Yoon Jung 1 , Yong-Hyon Kim 1 , Tae-Sun Chang 1 , Sang-Man Koo 1
1 Chemical Engineering, Hanyang University, Seoul Korea (the Republic of)
Show AbstractMultifunctional hybrid particles have recently emerged as a new approach for smart materials in nano, bio, and material sciences. A variety of preparation methods for multi-functional hybrid particles have been developed, including core shell synthesis, layer-by-layer technique, multi-block copolymer emulsification method, surface modification, and etc. Multi-functional particles can perform multiplex tasking that enables the applications which single functional particles cannot achieve for instance, target-selective multi-color imaging and 3-dimensional building block for photonic crystals and integrated circuits. Therefore, the development of a simple and efficient preparation method for particles with various types of functionalities is of great importance in order to be used in wider applications.In this work, we introduce a facile preparation method for multi-functional hybrid silica (MHS) particles by self emulsion process without using any surfactant. Droplets of mixed organo-silane monomers with phenyl, amine, and thiol functionalities were initially formed under a strong acidic condition and then homogenous MHS particles were obtained through condensation reaction in a basic condition. The MHS particles were tagged with two different reactive dyes, fluroescamine for amine functionality and rhodamine red for thiol functionality, so that the distribution of functionalities on particles was investigated. In addition, amine functionality was converted to carboxyl acid group by chemical reaction with glutaric anhydride so as to give another functional group on MHS particles. Self-assembly to 3-dimentional structure demonstrated a potential ability of MHS particles for building block through acid-base reaction between two MHS particles with different size and functionalities (one with -COOH (1.4 μm) and another with -NH2 (200 nm)). We also applied self-assembly of MHS particles to patterning on amine functionalized substrate. The acid-base reaction between amine groups on the glass surface and carboxylic groups on the surface of MHS particles leads to the formation of the patterned assembly of MHS particles on the glass.
6:00 PM - LL3.17
Repeated Transfer of Colloidal Assembly Using Reversibility of Buckled Polymer Thin Films.
Dongchoon Hyun 1 , Bongsoo Kim 1 , Unyong Jeong 1
1 , Yonsei University , Seoul Korea (the Republic of)
Show AbstractBuckling or wrinkling is a well-known phenomenon. It is realized by releasing strain, mechanically or thermally, applied to a stiff film on an elastomer substrate. Strain engineering enables the buckling patterns to have the highly ordered and regular wavy surfaces. The feature of the buckling pattern can be reversibly tuned by a cycle of applying and releasing strain. This reversible nature of buckling was employed to transfer colloids assembled in buckling patterns to flat surfaces. The cycle of colloidal loading-transfer-buckling is repeatedly carried out to fabricate the same colloidal patterns. The key to success is the reduction in the amplitude of the buckling patterns to a few nanometers as well as the recovery of initial buckling patterns after repeated stretching. The reduced buckling amplitude by poststretching or thermal annealing embosses the colloids assembled in the trenches of the buckling patterns, which enables the transfer regardless of the size, species, or layer thickness of the particles. This report demonstrates various transferred patterns composed of colloidal crystals, fluorescence hydrogel colloids, Au nanoparticles, and iron oxide magnetic particles. Since the process does not require surface modification of the colloids, it can be used to fabricate any colloidal patterns.
6:00 PM - LL3.18
Nanostructured Calcite Single Crystals With Gyroid Morphologies.
Alex Finnemore 1 , Maik Scherer 1 , Ullrich Steiner 1
1 Physics, Cambridge University, Cambridge United Kingdom
Show AbstractGyroid-structured calcite crystals are grown by templating though self-assembled copolymer films. The remarkable triply periodic minimal surface is perfectly replicated on the nanometer scale, while single crystallinity is maintained. This is a wholly synthetic route to a crystal morphology found in biological systems, only on a smaller length scale.
6:00 PM - LL3.19
Interaction Controlled Self-assembly of Gold Nanoparticles Under Centrifugal Force.
Shien-Der Tzeng 1 , Su-Ching Yang 1
1 Department of Physics, National Dong Hwa University, Hualien Taiwan
Show AbstractAssembled gold nanoparticles have many interesting optical properties that are related to their local surface plasmon resonance and the interactions between adjacent gold nanoparticles. The resonance frequency of an assembled gold nanoparticle film is related to the gaps between adjacent particles, which can be well controlled by the surface modifications of the gold nanoparticles. Assembled gold nanoparticles also have important application to nanoelectronic devices, such as single electron transistor. It can also be used for molecular sensing devices or be used as substrate for surface enhanced Raman scattering (SERS). In this work, we show an simple, rapid, and economical method to fabricated large area assembled gold nanoparticle films with good uniformity. The gold nanoparticles were synthesized in aqueous solution, and then modified by self-assembled monolayer (SAM) molecules such as 11-mercaptoundecanoic acid (MUA) or 3-mercaptopropionic acid (MPA) on their surfaces. The interaction between gold nanoparticles can be well controlled by the pH value and the ionic strength of the colloidal solution. By the assistance of centrifugal force, the nanoparticles can be rapidly assembled on the surface of silicon or glass substrates, forming a single-layer or multi-layer film structure. SEM images of the film shows that the assembled structures are very dependent on the interaction forces between gold nanoparticles in solution. The adsorption spectrum of the film also shows strong dependence on the assembled structure. Some applications on biosensing and nanoelectronic devices by using the fabricated assembled gold nanoparticle films are also shown in this work.
6:00 PM - LL3.22
Nanoscale Organization of GaSe Quantum Dots on a Gold Surface.
Jingru Shao 1 , Hoda Mirafzal 1 , Jared Petker 1 , Janice Lianne Cosio 1 , David Kelley 1 , Tao Ye 1
1 School of Natural Sciences, University of California, Merced, Merced, California, United States
Show AbstractPrecise spatial organization and electronic coupling between quantum dots are pivotal for many potential applications. Typical spherical quantum dots in assemblies are separated by organic ligands and hence weakly coupled. GaSe nanoparticles are disc-like particles that are four atoms thick with tunable lateral dimensions. Previous spectroscopic investigations indicate the formation of nanoscale aggregates in which the quantum dots are strongly coupled. Our study shows that the anisotropic properties of these particles may be exploited to assemble surface-stabilized superstructures with well-defined distances between the quantum dots. By changing the ligands adsorbed on the nanoparticle edges, three distinct aggregate morphologies can be produced. The surface chemistry of GaSe orients the nanoparticles on a surface and induces stacking in the surface normal direction. The discrete heights of such stacked aggregates suggest that the layers are held together by van der Waals interactions with a regular spacing. Such structures, with their well-defined electronic coupling, have potential implications in fundamental studies of photoinduced charge transfer and transport, as well as device fabrications.
6:00 PM - LL3.23
Orientational Ordering of Gd-doped Ceria Self-assembled Nanowires Induced by Nanoscratching.
Jone Zabaleta 1 , Patricia Abellan 1 , Marta Gibert 1 , Felip Sandiumenge 1 , Narcis Mestres 1 , Teresa Puig 1 , Xavier Obradors 1
1 , ICMAB-CSIC, Bellaterra, Barcelona, Spain
Show AbstractThe generation of interfacial oxide nanoislands is a promising route towards the development of new multifunctional materials. Their potential applications in electronic, optoelectronic or biological devices requires an increasing control on key parameters such as nanoisland distribution, morphology and orientation.We present a novel approach for the directed self-assembly of Ce0.9Gd0.1O2-y (CGO) nanostructures grown on single crystalline (001)-perovskite-type substrates. Elongated nanometre-size nanowires displaying two possible orthogonal orientations were synthesized following the Chemical Solution Deposition method (CSD). We have explored the use of a nanoindenter at extremely low loads to produce nanometer-depth indentation lines (nanoscratches) on the substrates before the growth of epitaxial CGO nanoislands. The influence of the mechanical modification of the substrate on nanoisland location and distribution is evidenced and studied in terms of the applied indentation load, the pitch between the indentation lines and the time evolution of the system. In particular, one of the two possible nanoisland orientations is clearly suppressed at the indented areas while the population balance is immediately restored where no deformation is present. The local compressive anisotropic elastic strain field imposed by the nanoscratches appears as the responsible for the breaking of the pre-existing orientation energy degeneracy and selects the nanowires’ orientation parallel to the lines in an extent that can reach the 100%.
6:00 PM - LL3.24
Formation of Regular Arrays of Nanoscale Surface Features Using a Mask of Ion Beam Modified Silica Particles.
Juan-Carlos Cheang-Wong 1 , Eder Resendiz 1 , Ulises Morales 1
1 , Instituto de Física, Universidad Nacional Autónoma de México, México, D.F. Mexico
Show AbstractColloidal silica particles are being intensively studied due to their potential applications in catalysis, intelligent materials, optoelectronic devices and coating technology. The properties of these SiO2 particles depend on their size, size distribution and shape, which in turn determine the different roles they can play as electronic substrates, electrical and thermal insulators, photonic bandgap crystals, masks for lithographic nanopatterning, etc, in technologically expected nanodevices. Ion irradiation induces damage and structural changes in solids due to energy losses of multi-MeV heavy ions via ionization events and atomic collisions occurring in the near-surface region of the irradiated sample. Indeed, it has been observed that amorphous glassy materials like silicon dioxide can undergo extreme deformations under exposure to high-energy beams. This ion-beam induced anisotropic deformation of amorphous materials such as silica has been observed in the case of SiO2 films on Si substrates as well as in colloidal silica particles. Spherical submicrometer-sized silica particles were prepared by the Stöber method and deposited as a monolayer onto silicon wafers, in order to use them as a mask to create regular arrays of nanoscale surface features, such as Ag deposits. Also, ion beam modified masks were used to tailor the size and arrangement of these Ag deposits on Si substrates as a function of the ion fluence. Some of the samples were irradiated at room temperature with Si ions at 4 and 6 MeV and fluences up to 0.3×1015 Si/cm2, under an angle of 90° with respect to the sample surface. After the irradiation the silica particles turned into oblate particles, as a result of the increase of the particle dimension perpendicular to the ion beam and the decrease in the parallel direction. By this way, the mask openings of the silica particle monolayer were modified and a subsequent Ag evaporation allowed the formation of ordered arrays of Ag features, after the silica removal with a HF solution. The size, size distribution and shape of both the silica particles and the Ag deposits were determined by scanning electron microscopy.
6:00 PM - LL3.27
Synthesis of Nanoporous Pt/TiO2 Composite Particles by Aerosol Assisted Assembly.
HeeDong Jang 1 2 , Franklin Kim 1 , Kwonnam Sohn 1 , Jiayan Luo 1 , Laura Cote 1 , Jiaxing Huang 1
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Industrial Materials Research, Korea Institute of Geoscience & Mineral Resources, Daejeon Korea (the Republic of)
Show AbstractPt nanomaterials such as nanoparticles, nanorods, and nanowires have received much attention for catalytic applications due to a large surface area and excellent activity. To prepare Pt catalysts, it would be ideal to minimize the consumption of platinum without severely deteriorate the catalytic performance. One strategy to achieve this is to prepare Pt nanomaterials on high surface area nanoporous solid supports. We have synthesized spherical nanoporous Pt/TiO2 composite particles by co-assembling TiO2 and Pt nanoparticles in an aerosol assisted assembly method. The technique allows a very short reaction time (less than 1 sec) to create nanostructured porous particles. The self assembled Pt/TiO2 spheres are composed of Pt nanoparticles (<10 nm) supported on TiO2 nanoparticles (<20 nm). Average diameter of the spherical composite particles was around 1 µm and the pore diameter ranged from 20 to 100 nm. Pt nanoparticles were observed in the internal pore and surface of the supporting porous TiO2 particles. Effects of the diameter of Pt, the ratio of Pt/TiO2, and the pore diameter of the composite particles on catalytic properties will be discussed.
6:00 PM - LL3.28
Surface Patterned Microspheres by Self-assembly of Superparamagnetic Nanocrystals in a Confined Space.
Yongxing Hu 1 , Yadong Yin 1
1 Chemistry, University of California, Riverside, Riverside, California, United States
Show AbstractIn this presentation, we report the self-assembly behavior of superparamagnetic nanocrystals inside a confined space such as a liquid droplet. By polymerizing the droplet, we have been able to characterize the surface patterns in more detail. Depending on the size, concentration of the nanocrystals, the exposure time in relative to the application time of magnetic field, and the strength of the magnetic field, we have been able to produce well-defined surface patterns such as single particle chains, stripes, uniform coating so that the microspheres possess watermelon, onion, and spindle shapes. The self-assembly behaviors of superparamagnetic γ-Fe2O3 nanocrystals into one dimensional chains inside the liquid droplets have been studied in an external magnetic field. The chain thickness can be controlled by adjusting the exposure time in magnetic field prior to UV curing. In addition, hollow microspheres with different surface morphologies have been fabricated by adding another volatile solvent. The presence of superparamagnetic nanocrystals chains inside the microspheres and on the surfaces makes it possible to control the orientation of such microspheres by using external magnetic fields. The excellent stability together with the capability of fast switching by magnetic fields makes these microspheres suitable for applications in drug delivery, rewritable signage, and sensors.
6:00 PM - LL3.29
Design and Application of Gold Nanoparticle Arrays Selectively Attached on Diblock Copolymer Templates Using Self Assembly.
Sarah Adams 1 , Regina Ragan 1
1 Chemical Engineering and Materials Science, University of California, Irvine, Irvine, California, United States
Show AbstractBottom-up self-assembly techniques have been increasingly considered for their potential application in the development of nanoscale surface patterning as an alternative to conventional lithography techniques, enabling ordered patterning at scales previously unachievable with increased yield and cost-effectiveness using simple thermodynamic and chemical synthesis techniques. Our materials design process focuses on developing patterned arrays of metal nanoparticle clusters useful for a variety of applications, such as the development biological sensors with the capacity for detecting trace quantities of specified organic molecules and new methods to enhance photovoltaic technology. In this study, metallic nanoparticles were investigated for use with field-enhanced chemical and biological detection devices with the potential capacity to achieve single-molecule level detection resulting from surface enhanced Raman scattering (SERS) associated with closely spaced noble metal nanostructures. Localized surface plasmon resonance (LSPR) sensors likewise benefit from the incorporation of ordered metal nanoparticles on surfaces, providing increased shift in minimum of reflectivity with biological binding event. Furthermore, the strong scattering effect from the interacting surface plasmons of metal nanoparticles in the patterned array is also applicable to the enhancement of photovoltaic technology, in which the dipole interactions increase the optical path of incident light in the absorber layers of photovoltaic solar cells. Using a series of chemical self-assembly steps, we have developed a technique to attach monodisperse, colloidal gold nanoparticles on self-organized polymer templates, yielding patterned arrays of metallic nanoparticle clusters with sub-10 nanometer interparticle spacing useful for engineering enhanced optical fields. Poly(methyl methacrylate) (PMMA) domains in phase-separated polystyrene-b-poly(methyl methacrylate) diblock copolymer thin film templates were chemically modified with surface amination for controlled placement of monodisperse Au nanoparticles. Chemically synthesized colloidal gold nanoparticles, measured at 10 and 20 nm diameter using dynamic light scattering (DLS) techniques and SEM, were selectively attached to the amine-functionalized PMMA surfaces using 1-Ethyl-3-[3-dimethylaminopropyl] carbodiimide hydrochloride (EDC) linking chemistry with an organic ligand, thioctic acid, bound to the nanoparticle surface. With this technique, arrays of gold nanostructures were developed with feature size and interparticle spacing unachievable using lithographic methods. By control of the size and spacing of the reactive polymer domains and the relative nanoparticle size, nanoparticle arrays are fabricated with controlled clustering arrangements and spacing. This clustering technique is especially useful in arranging nanoparticles in dimer formations, which is useful for plasmon resonance applications.
6:00 PM - LL3.3
Flame Suppression of Cotton with Polymer-clay Thin Film Assemblies.
Jaime Grunlan 1 2 , Yu-Chin Li 2
1 Mechanical Engineering, Texas A&M University, College Station, Texas, United States, 2 Materials Science and Engineering Program, Texas A&M University, College Station, Texas, United States
Show AbstractCotton fabric was treated with flame-retardant coatings composed of branched polyethylenimine (PEI) and montmorillonite (MMT), prepared via layer-by-layer (LbL) assembly. Four coatings were created with solutions of BPEI (pH 7 or 10) and MMT (0.2 or 1 wt. %). The thickness and composition of the coatings were studied by ellipsometry and quartz crystal microbalance. PEI at pH 10 produces the thickest films. Each coating recipe was evaluated at 5 and 20 bilayers. Thermogravimetric analysis showed that coated fabrics left 13 % char after heating at 500 °C, over an order of magnitude more char than from uncoated fabric, with less than 4% coming from the coating itself. Coating reduced afterglow time by 9 seconds in vertical flame tests. Post-burn chars of coated fabrics were examined by scanning electron microscopy, revealing that weave structure and fiber shape in all coated fabrics were preserved through burning.
6:00 PM - LL3.30
Nanoreservoirs With ``invisible” Walls and Functionalized Pores.
Sergey Dergunov 1 , Eugene Pinkhassik 1
1 Department of Chemistry, The University of Memphis, Memphis, Tennessee, United States
Show AbstractThis study focuses on the design and synthesis of porous nanocapsules. Styrene monomers were loaded inside the lipid bilayer membrane of the liposomes with different curvature; several experiments were conducted to compare monomer loading under different conditions. Kinetics of monomer loading into bilayers and bilayer capacity did not change with increasing of liposomes diameter. Using lipid bilayers as temporary self-assembled scaffolds, we directed the assembly of sub-nanometer thin crosslinked organic polymer with embedded pore-forming templates, and demonstrated an efficient method for controlling the chemical environment of molecular-size pores in nanometer-thin organic materials. We created uniformly sized pores with a single carboxylic functional group and quantitatively converted the carboxylic group into an acid chloride and subsequently into an amide. Size selective permeability was demonstrated with dye retention assays. This work opens opportunities for further functionalization of nanocapsules for controlling the mass transfer across the pore, e.g. with stimuli-responsive moieties or creating arrays of functional groups that may potentially act as molecular recognition sites.
6:00 PM - LL3.31
Hollow Organic Nanocapsules With Entrapped Metal Nanoparticles.
Sergey Shmakov 1 , Eugene Pinkhassik 1
1 Department of Chemistry, The University of Memphis, Memphis, Tennessee, United States
Show AbstractBilayer of phospholipid liposomes were used as temporary scaffolds for synthesis of hollow polymer nanocapsules (NCs). Addition of pore forming template allowed controllable formation of nano-sized pores in the walls of NCs.Functionalization of hollow polymer nanocapsules is a challenge. Nanoparticles of transition metals are good candidates to gain functionality of NCs since they possess a number of unique properties. Immobilization of metal NPs into polymer NCs is likely to broaden their applications in catalysis and in the area of biomedical and censoring devices.Metal nanoparticles (NPs) in solution are unstable without stabilizing agent and have a tendency to aggregate. NCs’s walls could prevent NPs from aggregation. We have developed several approaches in loading metal nanoparticles into NCs. The most efficient technique used in the present work is a simultaneous formation of nanoparticles within forming nanocapsules under UV-irradiation.Resulting nanocomposite was studied by means of transmission electron microscopy, UV/VIS spectroscopy and dynamic light scattering.
6:00 PM - LL3.32
Anomalous Ductility in Thermoset/Thermoplastic Polymer Alloys: An Explanation Based on Overlap Concentration and Cavity Growth.
Debashish Mukherji 1 , Cameron Abrams 1
1 Chemical and Biological Engineering, Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractMechanical properties of highly cross-linked polymer (HCP) networks, e.g., thermosets, can be significantly modified by adding linear polymer chains, e.g., thermoplastics.In this work, we study thermoset/thermoplastic polymer alloys by means of large scale molecular dynamicssimulations (MD) of a coarse-grained model. We focus here on the effect of linear chain mass fraction, for different chain lengths, and strain rates. Our results show that tensile strain (i.e; strain to break) decreases with increasing mass fraction up to a threshold value, beyond which it increases with mass fraction. This non-monotonic behavior, which we call ``anomalous ductility", is qualitatively independent of strain rate and chain length,so long as fracture occurs in bulk. The threshold value of linear chain mass fraction decreases with increasing chain length and we observe microscopic evidence that this threshold value signifies the onset of interchain interactions. A simple scaling argument suggeststhat threshold mass fraction is related to the overlap concentration of the thermoplastic homopolymerin the cured thermoset matrix.
6:00 PM - LL3.33
Selective Enrolling Colloidal Assembly via Wrinkled PDMS.
Chantal Badre 1 2 3 , Ashwin Rao 1 , Jean Christophe Castaing 1 , Jean Paul Chapel 3 , Shu Yang 2
1 , Rhodia Inc., Bristol, Pennsylvania, United States, 2 Department of Materials Science and Engineering, UPENN, Philadelphia, Pennsylvania, United States, 3 , CNRS, Paris France
Show AbstractThere have been extensive researches to develop new methods and techniques to pattern material surfaces with specific chemical functionality and physical properties. However, it remains challenging to fabricate small features using non-lithographic techniques and selectively pattern them on nonplanar substrates. Here, we report a new technique that selectively transfer-print nanoparticles on top of nonplanar substrates (i.e. wrinkled PDMS) using a cylindrical roller. PDMS has been widely used stamp and mold in soft lithography. Here, we used it as a donor and receiver of colloidal nanoparticles. We showed that silica nanoparticles (~ 100nm) could be removed from a Si substrate, picked up by a roller, and subsequently transferred to a wrinkled PDMS surface. The nanoparticles appeared to be assembled only on top of the wrinkle surface. Supporting this, the nanoparticle assembly had a width of 6-10 micrometer in comparison to the wrinkle wavelength of ~ 18 micrometer. Further, the nanoparticles on the wrinkled PDMS could be relieved to another receiver substrate (e.g. PDMS and Si) through enrolling, resulting in 1D line patterns of nanoparticles. By enrolling in different directions, we can build a 2D grid pattern of nanoparticles. The process is simple, fast (~ 1s) and low cost without any chemical treatment of either the nanoparticles or the donor/receiver substrate. We believe that the printing method can be applied to pattern a wider range of materials on both flexible and curved substrates.
6:00 PM - LL3.4
Thermally-induced Mesostructure Formation of Polymer Resin-Surfactant Composites in Confined Environments: An in-situ GISAXS Study.
Joerg Schuster 1 , Ralf Koehn 2 , Andreas Keilbach 1 , Markus Doeblinger 1 , Heinz Amenitsch 3 , Thomas Bein 1
1 Chemistry and Biochemistry, Ludwig-Maximilians-Universität München, Munich Germany, 2 , Centre for Free-Electron Laser Science, Hamburg Germany, 3 Biophysics and Nanosystems Research, Austrian Academy of Sciences, Graz Austria
Show AbstractPorous carbon materials are omnipresent and essential for a large number of modern applications. Beneficial properties such as high surface area, large pore volume, chemical inertness or electrical conductivity make them applicable for use as electrode materials for batteries, supercapacitors, fuel cells, as sorbents for separation, gas storage, as catalyst supports, and as host for nanostructured matter. Ordered mesoporous carbons (OMC) synthesized in confined environments can be advantageous for these applications. Soft-templating methods using the self-assembly of soluble carbon precursors and block-copolymer templates can be superior over hard-templating approaches concerning complexity and effort in synthesis. Meng et al. [1] introduced a synthesis procedure for mesoporous carbon powders with variable structures and high surface areas. Recently we were able to extend Zhao's approach to mesostructured thin films [2] and in the channels of anodic alumina membranes. They were obtained through evaporation-induced organic-organic self-assembly of a preformed resol precursor and the triblock copolymer templates Pluronic P123 and F127, followed by thermal treatments for thermopolymerization of the precursor, template removal and carbonization. Recent in situ GISAXS measurements reveal that the structure formation occurs during thermopolymerization and that its kinetics depends strongly on the processing temperature. Structural changes during template removal and carbonization at temperatures up to 1100 °C could also be followed and will be discussed in this presentation. Acknowledgement: The authors thank NIM and the SFB 486 for supporting this work and the synchrotron Elettra (Trieste, Italy) for allocating beam time.[1] Meng Y., Gu D., Zhang F., Shi Y., Cheng L., Feng D., Wu Z., Chen Z., Wan Y., Stein A., Zhao D. Chem. Mater. 2006, 18, 4447-4464.[2] Schuster J., Köhn R., Keilbach A., Döblinger M., Amenitsch H., Bein T. Chem. Mater. 2009, in press
6:00 PM - LL3.5
Temperature Effects on Solvent Annealing of Diblock Copolymer Films.
Claudia Grozea 1 , Gilbert Walker 1
1 Chemistry, University of Toronto, Toronto, Ontario, Canada
Show AbstractIn confined geometries, block copolymers can self-assemble in a wide range of ordered structures at the nanoscale. We investigated the effect of temperature on vapor solvent annealing and the suitability of this method for dimensional scaling in diblock copolymers. Symmetric diblock copolymers of polystyrene-block-poly(methyl methacrylate) with block molecular weights ranging from 52,000 g/mol to 160,000 g/mol were spin-coated from toluene solutions onto silicon wafers. The films were vapor solvent annealed in acetone at various temperatures. The films were characterized by atomic force microscopy throughout the fabrication steps. Moreover, these nanoscale patterned surfaces are used to study the settlement behavior of algae zoospores. These surfaces will allow shifting from conventional micron-sized antifouling materials to more promising nanopatterns.
6:00 PM - LL3.6
Self-assembly of Fluorescent Block Copolymer Prepared by Atomic Transfer Radical Polymerization.
Jungmok You 1 , Jeong-Ae Yoon 2 , Jeonghun Kim 1 , Chih-Feng Huang 2 , Krzysztof Matyjaszewski 2 , Eunkyoung Kim 1
1 , Yonsei University , Seoul Korea (the Republic of), 2 , Carnegie Mellon University, Pittsburgh, Pennsylvania, United States
Show AbstractWell defined fluorescent block and random copolymers were synthesized by atom transfer radical polymerization (ATRP) of methyl methacrylate and pyrene methacrylate, respectively. The fluorescence spectra of the random copolymer exhibited pyrene monomer and excimer emission, while the block copolymer exhibited only excimer emission. Such a result was ascribed to the self-organization of pyrene in the block copolymer that contained pendent pyrene group at every side chain. Self-organization of pyrene contained block copolymers could be controlled with external stimuli such as heat and light. The effect of nanoscale confinement of the polymers on their fluorescence properties at glass transition temperature was investigated by a spectroscopic method in combination with SEM, TEM, and AFM studies. Dramatic changes of the fluorescence intensity and the emission wavelength were observed and they could be attributed to the rearrangement of the fluorescent blocks through intramolecular interaction as well as intermolecular interaction. Light breakable polymer micelles were prepared with PMMA-b-PPY based on the photolysis of a chromophore on the pyrene block. Upon UV exposure the fluorescence of the block copolymers were quenched to allow a direct photo patterning. It was found that the fluorescent polymer underwent interesting morphological as well as chemical changes upon UV exposure.
6:00 PM - LL3.7
Fabrication of Single Nano/Micro Wire Type Interconnection of Conducting Polymer by Self-aligned Electropolymerization Technique.
DongUk Kim 1 , Bongyoung Yoo 1
1 Bionano Technology, Hanyang University, Ansan, Gyeonggi-do, Korea (the Republic of)
Show AbstractConducting Polymer including polypyrrole(Ppy) and polyanline(PANI) is one of the fascinating electrically conducting materials due to its good environmental stability and biocompatibility. It has also tunable conductivity to a specific application through controlling the concentration of doping element. However, it is difficult to obtain micro or nanoscale patterning of conducting polymer with adopting the conventional photolithography technique, because the conducting polymers are organic materials which could be easily damaged by photoresist removing solvent. Although many researchers has been researching bottom-up approaching to achieve patterning of conducting polymer as an individually grown nanowires though the electropolymerization, the nanowires are difficult to be positioned at a specific site where we want to locate it as an electrical compartment. In this study, we report on the fabrication of single Nano/Micro interconnect of conducting polymer by self-aligned electropolymerization technique which utilize the selective deposition characteristics of electropolymerization. First, the conducting polymer was electrochemically oxidized on the on the lithographically patterned sub-metallic electrode. As a next step, sub-metallic layer would be selectively etched out by highly acidic solution, resulting the conducting polymer as a sole interconnect between two electrodes. Such a patterned conducting polymer structure could be applied as a biosensor or gas sensor with additional surface functionalization after fabrication of conducting polymer interconnections.
6:00 PM - LL3.8
Reversible Thermochromism of Polydiacetylene-Diamine Complexed Lamellar Architectures.
Chunzhi Cui 1 , Yeol-Kyo Choi 1 , Doo-Ho Yang 1 , Gil Sun Lee 1 , Hyun Choi 1 , Dong June Ahn 1
1 , Korea University, Seoul Korea (the Republic of)
Show AbstractRecently, the development of sensors based on polydiacetylene (PDA) architectures has gained more attention due to their unique colorimetric transition mechanism. Self-assembled diacetylene monomers can be existed in a variety of different forms such as Langmuir films, lamellar films or vesicles. By the irradiation of 254 nm UV light, these monomers can be polymerized via 1, 4-addition reaction to form a polymer backbone. After polymerization, they display “blue” color to the naked eye, and there is no fluorescence. Environmental perturbations including heat, solvent, pH, and mechanical stress bring on the “blue-to-red” color change and self-emitting fluorescence. In this work, we reported the reversible thermochromism of lamellar architectures composed of 10,12-pentacosadiynoic acid (PCDA) and p-xylenediamine. Firstly, two monomers were mixed in THF solutions. Due to proton transfer between the acid and amine head group, they formed a highly ordered lamellar structure film after casting on the substrate followed evaporating solvent. Visible spectroscopy and fluorescence spectroscopy were used to observe color and fluorescence change by in-situ thermal stimulation. As compared with the pristine PDA film, the lamellar architectures containing p-xylenediamine showed a reversible color change after removing thermal stimulus. Interestingly, the fluorescence was also reversible under the same condition. In addition, by means of FTIR spectroscopy, we observed the molecular configurational changes upon thermal cycles as well as the reversibility dependent upon molar ratios. These unique properties endow them with potential applications to devices and chemical sensors.
6:00 PM - LL3.9
Self-assembled Nanostructure of Conjugated Rod-Coil Block Copolymers in Thin Films and Solutions.
Su Yeon Choi 1 , Sle Lee 1 , Jin Wook Lee 1 , Seung Hyun Kim 1 , Yun Jeong Song 2 , Jea Uk Lee 2 , Won Ho Jo 2
1 Division of Nano-Systems Engineering, Inha University, Incheon Korea (the Republic of), 2 Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractOne of the most fascinating properties of block copolymers which consist of covalently bonded more than two polymer segments is their ability to self-assemble into ordered nanostructures. Block copolymer can self-assemble into a range of nanostructures which include spheres, cylinders, gyroids, and lamellae in the bulk and thin film. On the other hand, placed in a solvent in which one segment is soluble and the other is not, these “amphiphilic” block copolymers will self-organize into supramolecular micelles, with insoluble cores shielded from the solvent by a corona formed by the soluble segments. Additional factors including the chain rigidity can bring about more diverse and complicated morphologies in block copolymer self-assembly. Rod-coil block copolymers, which have a strong tendency to self-organize into a variety of supramolecular structures in nanoscale dimensions, have attracted much research interests because of both the science and potential applications. Especially, novel amphiphilic rod–coil block copolymers containing conjugated segment for optoelectronic applications have intensive received attention for fabrication of molecular electronic devices since their properties may exhibit unique characteristics suitable for developing novel supramolecular structures. In this work, self-assembling behavior of rod-coil diblock copolymers, poly(3-hexylthiophene)-b-poly(methyl metacrylate) (P3HT-b-PMMA) and poly(3-hexylthiophene)-b-poly(t-butyl acrylate) (P3HT-b-PtBA), was investigated. Thin film was obtained by drop casting followed by solvent-annealing. The micellization behavior of the block copolymers were investigated in mixed solvent. The structure of thin films was characterized by atomic force microscopy (AFM), grazing-incidence small/wide-angle x-ray scattering (GISAXS/GIWAXD), and the micellar structure was examined by dynamic light scattering (DLS), transmission electron microscopy (TEM) and AFM. Typical fibrillar structure was observed in thin films of rod-coil block copolymers, and especially highly ordered nanostructures were obtained, which can provide large interfacial area and thus sufficient exciton dissociation. The semicrystalline structure with edge-on morphology was observed in the block copolymer geometry, which enables the usage of this nanostructure in various applications. For micellar structure, the shape and size were found to strongly depend on the block ratio and solvent selectivity/composition of mixed solvents. Detailed characterization on the structure of micelles of rod-coil block copolymer will be given in the presentation.
6:00 PM - LL3: Poster-1
LL3.21 Transferred to LL11.4
Show Abstract6:00 PM - LL3: Poster-1
LL3.14 Transferred to LL11.3
Show Abstract
Symposium Organizers
Qinghuang Lin IBM T. J. Watson Research Center
James J. Watkins University of Massachusetts
Amit Goyal Oak Ridge National Laboratory
Jeffrey J. Urban Lawrence Berkeley National Laboratory
LL4: Directed Assembly and Self Assembly for Clean Energy Applications
Session Chairs
Wednesday AM, April 07, 2010
Room 3016 (Moscone West)
9:00 AM - **LL4.1
Electrical Studies of Individual Colloidal Semiconductor Nanorods.
Matt Sheldon 2 , Taleb Mokari 2 , Paul Alivisatos 1 2
2 Chemistry, University of California at Berkeley, Berkeley, California, United States, 1 , Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractSingle nanostructure electrical measurements directly probe the fundamental limits of semiconductor device miniaturization, providing some of the most precise characterization available of electronic structure resulting from quantum confinement and dimensional control. When the strategy is employed for colloidal semiconductor nanocrystals we also learn the ultimate transport efficiencies of these materials, crucial for determining their utility in photovoltaic applications, as one important example, without the convolution of particle-particle carrier hopping mechanisms or particle size dispersity that are difficult to account for in studies of nanocrystal thin film solids. Electrical studies of nanoscale objects can be dominated by the influence of the contacts. In this talk, we will present recent results, in which the electrical transport through individual CdSe nanorods contacted by different methods is compared. We find that solution-grown Au tips provide the best contacts, and provide a basis for further study of the electrical characteristics of the nanorods.
9:30 AM - **LL4.2
Self Assembly for Nanostructured Solar Cells.
Charles Black 1 , Chang-Yong Nam 1 , Jonathan Allen 1
1 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States
Show AbstractSelf assembly provides a means to uniformly nanostructure materials at 10-100nm length scales with simple patterns of high density and symmetry. Such methods are conducive to device fabrication because they are reproducible over large areas while being cost and time efficient. Our research program at the Center for Functional Nanomaterials utilizes nanometer-scale materials with precisely defined and tunable internal dimensions for understanding and improving the three critical steps of photovoltaic conversion: solar light absorption by the active material; dissociation of photogenerated electron-hole pairs into free charge carriers; and charge collection. I will primarily describe experiments wherein self-assembly approaches are used to modify the bulk heterojunction architecture typically associated with organic semiconductor based solar cells.
10:00 AM - **LL4.3
Rational Synthesis and Self-assembly of Transition Metal Nanoparticles for Catalytic Applications.
Shouheng Sun 1
1 Department of Chemistry, Brown University, Providence, Rhode Island, United States
Show AbstractUsing solution phase based thermal decomposition and reduction chemistry, we have synthesized a series of monodisperse NPs of noble metals (Pt, Pd, Au), transition metals (Fe, Co, Fe3O4, and CeO2) and their alloys (FePt, CoPt). The noble NPs could serve as seeds for the production of structurally more complicated core/shell NM/Pt and dumbbell-like NM-Fe3O4 (NM = Au, Pt) NPs. By tuning the sizes (2-20 nm) and shapes (polyhedron, cube, rod and wire), these NPs can be made catalytically active for the reduction of oxygen and for the oxidation of formic acid and CO. The work offers some good examples on rational synthesis and self-assembly of transition metal based NPs for catalytic applications.
10:30 AM - **LL4.4
Epitaxial, Self-assembled, Ferromagnetic Co/YSZ Nanocomposites for Ultrahigh Density Storage Media.
Junsoo Shin 1 , Amit Goyal 1 , J. Thompson 1 , Claudia Cantoni 1
1 , Oak Ridge National Lab., Oak Ridge, Tennessee, United States
Show AbstractFerromagnetic nanostructures (nanodots and nanowires) embedded within insulators are of great interest for potential applications in ultrahigh density information storage and magnetoelectronic devices. Hence, the controllable synthesis of magnetic nanostructures is highly desirable. So far, there has been little work demonstrating controlled fabrication of self-assembled, metallic nanoparticles within a crystalline, insulating matrix. We have successfully fabricated self-assembled, ferromagnetic, cobalt nanostructures (from nanodots to nanowires) within epitaxial, insulating yttria-stabilized zirconia (YSZ) thin-films grown epitaxially on Si (100) surfaces using pulsed layer deposition (PLD). The magnetic properties of the Co-YSZ nanocomposites were studied by superconducting quantum interference device magnetometer. Electron microscopy was done to reveal the morphology of the self-assembled Co nanostructures. This study reports on the novel structural engineering of epitaxial, self-assembled, ferromagnetic Co/YSZ nanocomposites from magnetically isotropic nanodots to anisotropic nanowires. This work demonstrates the possibility for ultrahigh density data storage of ~ 1 Tbit/in2, for the next-generation of storage media.
11:00 AM - LL4: Energy
BREAK
11:15 AM - **LL4.5
Semiconductor Nanowires for Energy Conversion.
Peidong Yang 1
1 , Department of Chemistry, Berkeley, California, United States
Show AbstractSemiconductor nanowires, by definition, typically have cross-sectional dimensions that can be tuned from 2 to 200 nm, with lengths spanning hundreds of nanometers to millimeters. These subwavelength structures represent a new class of semiconductor materials for investigating light generation, propagation, detection, amplification and modulation. After more than a decade of research, nanowires can now be synthesized and assembled with specific compositions, heterojunctions and architectures. This has lead to a host of nanowire photonic devices including photodetectors, chemical and gas sensors, waveguides, light emitting diodes (LEDs), microcavity lasers, solar cells and non-linear optical converters. Semiconductor nanowires represent an important class of nanostructure building block for photovoltaics as well as direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of energy conversion including for example photovoltaics, thermoelectrics and solar water splitting.
11:45 AM - LL4.6
Layer-by-layer Self-assembled Multiwall Carbon Nanotube Electrodes for Clean Energy Applications.
Seung Woo Lee 1 4 , Naoaki Yabuuchi 2 4 , Betar Gallant 2 4 , Shuo Chen 2 4 , Byeong-Su Kim 1 , Junhyung Kim 2 4 , Paula Hammond 1 , Yang Shao-Horn 2 3 4
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States, 4 Electrochemical Energy Lab, MIT, Cambridge, Massachusetts, United States, 2 Mechanical Engineering, MIT, Cambridge, Massachusetts, United States, 3 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractDevelopment of novel energy conversion and storage devices using nanotechnology and science has been acknowledged as one of the most important technical issues in clean energy application. Since the efficiency of energy conversion and storage devices primarily depends on the materials and structures utilized, both synthesizing unique nanomaterials and designing optimal nanostructures are essential to this research. Potential advantages of nanostructured electrodes for fuel cells, batteries and supercapacitors include higher electrode/electrolyte contact area and faster charge/discharge rates, ultimately leading to higher energy and power density of energy devices. Layer-by-layer (LBL) assembly is a versatile thin-film fabrication technique which consists of the repeated, sequential immersion of a substrate into aqueous solutions of complementary functionalized materials. We recently demonstrated all multiwall carbon nanotube (MWNT) thin film electrodes using LBL assembly with functionalized MWNTs1. The LBL assembled MWNT electrodes are unique in that they yield distinct advantages for clean energy application. First, the electrode thickness can be controlled on the nanometer scale, and it can be made one order of magnitude smaller than conventional electrodes (typically 10 micron in thickness). This allows higher loadings of catalysts (such as uniform multilayer metal films on carbon nanotubes) per unit area of support with comparable catalyst loading per electrode area relative to conventional electrodes. In addition, the LBL method can be adapted to virtually any 2D, 3D, or flexible substrate to increase electrode surface area for increased energy and power. LBL assembled functionalized MWNT electrodes exhibit a high energy density (200 Wh/kg) delivered at a high power of 100 kW/kg in lithium nonaqueous cells. Finally, we demonstrated these LBL-MWNT electrodes are promising substrates for electrocatalysts for energy conversion devices.1.Lee, S. W.; Kim, B.-S.; Chen, S.; Shao-Horn, Y.; Hammond, P. T. Journal of the American Chemical Society 2009, 131, 671-9.
12:00 PM - **LL4.7
Controlled Polymer Self-assembly for Third Generation Solar Cells.
Jill Millstone 1 3 , Claire Woo 2 3 , David Kavulak 1 3 , Yoshikazu Miyamoto 3 , Thomas Holcombe 1 , Claudia Piliego 1 3 , Jean Frechet 1 2 3
1 Chemistry, University of California, Berkeley, California, United States, 3 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Chemical Engineering, University of California, Berkeley, California, United States
Show AbstractSolar cells based on conjugated polymers and fullerenes represent the state-of-the-art in organic photovoltaics. Typically processed as bulk heterojunctions, these device structures are efficient only when the formation of continuous, interpenetrating, nanoscale morphologies can be obtained through processing parameters such as thermal annealing or solvent evaporation rates. Therefore it is necessary to develop both an understanding and an approach to designing materials which self-assemble into these morphologies. Here, we present a series of developments in polymer design and processing which allow one to control active layer morphology via polymer crystallinity, compatibilization, and stability. We first examine the influence of polymer design on the formation of crystalline domains in thin films via the development of donor-acceptor block copolymers and polymers of controlled crystallinity. We build on this work to construct devices from pre-formed nanocrystalline regions of both donor and acceptor materials in the form of nanoparticles. Finally, we present methods to freeze favorable active layer morphologies via crosslinked conjugated polymers, which have proven efficient in both improving processibility and maintaining high power conversion efficiencies over an extended operating period.
12:30 PM - LL4.8
Laterally and Vertically-aligned GaN Nanowires by Dielectrophoretic Force for p-n Junction and Organic-Inorganic Hybrid Solar Cells Applications.
Jaehui Ahn 1 , Michael A. Mastro 2 , Charles R. Eddy 2 , Jihyun Kim 1
1 Chemical & Biological Eng., Korea Univ., Seoul Korea (the Republic of), 2 , US Naval Research Lab., Washington DC, District of Columbia, United States
Show AbstractCompound semiconductor nanowires are potential building blocks for integrated circuits, such as field-effect transistors, light-emitting diodes and field emitters. In the past decade, the breakthrough of synthesis of nanowires and demonstration of its superiorities in electrical properties brought extension to the application field. For example, the nanowire and nanorod arrays can be used as a cooling tip of FET, a gas sensor FET, an antireflection layer for solar cell and so on. The materialization of nanowires array, however, has prevented to employing the nanowire to the industrial fabrication process. The positioning of nanowires is the key technique to fabricate nanowire-based electronic devices in current industrial facilities. The general dispersion method of nanowires has been to sonicate the nanowires grown substrate in Isopropyl alcohol solution followed by dropping on the wafer, which makes the position of nanowires random. To solve this problem, manipulating nanowires by using diverse method was researched. For several years, dielectrophoresis (DEP) force has been focused on as manipulating tools of nanowires and has been researched intensively.Firstly, nanowires were two-dimensionally manipulated to achieve p-n junction by DEP. Then, we had different approach that three-dimensional manipulation; it might be used in broad application. For example, in the dye-sensitized solar cell, vertically aligned nanowires were capable of improving carrier collection due to the direct electrical pathways by the NWs. Many researches reported that vertically aligned nanowires improved the devices properties, but this method cannot be used when nanowire grown temperature is higher than the device fabrication temperature. To solve this problem, we tried to align the nanowire vertically. We were able to control the position of NWs in both lateral and vertical direction by DEP force. N-type GaN NWs were successfully manipulated by DEP to form p-n junction with p-type GaN substrate. Also, we demonstrated that nanowires were able to be erected vertically by DEP force. We were installed tow electrodes parallel to each other and filled the nanowires dispersed BCB solution between the electrodes, followed by applying AC voltage. Finite element method was employed to simulate the direction of the force. SEM images and I-V data will be presented from both laterally-aligned p-n junction and vertically aligned NWs.
12:45 PM - LL4.9
In situ Electrical Monitoring of Cation Exchange Reactions in Nanowires.
August Dorn 1 , Peter Allen 1 , Moungi Bawendi 1
1 Chemistry, MIT, Cambridge, Massachusetts, United States
Show AbstractPrecisely tuning the material composition of nanostructures is an important prerequisite for many potential device applications. In particular, cation exchange reactions appear to be a promising route to modifying the material composition of a wide variety of nanostructures [1, 2]. However, controlling the degree of compositional change, which is important e.g. for achieving precise doping levels in semiconductors, is still a major challenge. Here, we demonstrate how in situ conductivity measurements can be utilized for real time monitoring of the cation exchange reaction of CdSe-nanowires [3] to Ag2Se-nanowires in solution. Our results could be useful for applications in semiconductor doping and battery science.1. Larissa Dloczik and Rolf Könenkamp, Nanostructure transfer in semiconductors by ion exchange, Nano Letters, 2003, 3 (651–653)2. Richard D Robinson., Bryce Sadtler, Denis O. Demchenko, Can K. Erdonmez, Lin-Wang Wang, and A. Paul Alivisatos, Spontaneous superlattice formation in nanorods through partial cation exchange, Science, 2007 317 (355-358).3. August Dorn, Cliff R. Wong, and Moungi G. Bawendi, Electrically Controlled Catalytic Nanowire Growth from Solution. Advanced Materials, 2009. 21(1-4).
LL5: Directed Assembly and Self Assembly of Nanoparticles and Nanowires
Session Chairs
Wednesday PM, April 07, 2010
Room 3016 (Moscone West)
2:30 PM - **LL5.1
Super-aligned Carbon Nanotubes — From Material to Real Applications.
Shoushan Fan 1 , Kaili Jiang 1
1 , Tsinghua University, Beijing China
Show AbstractCarbon Nanotubes have been a hot material since its discovery due to their unique one dimensional structure and fascinating properties. As time goes on, people are more and more concerning about real applications of them. Here we show that the technique of super-aligned carbon nanotube arrays (SACNT) can definitely bridge the gap between microscopic nanomaterials and macroscopic real applications.Super-aligned carbon nanotube (SACNT) arrays are distinguished from normal vertically-aligned CNT arrays by their “super-aligned” nature, i.e., the CNTs in super-aligned arrays have a much better alignment than those in ordinary arrays.1,2 The key feature of a SACNT array is that continuous yarns or thin films, which are composed of a thin layer of parallelly-aligned pure CNTs, can be directly drawn from it in solid state.1-4 The as-produced yarns or thin films are transparent and highly conductive, with aligned CNTs parallel to the draw direction. Many potential applications for these have been demonstrated, for example as polarizers, transparent conducting films, and polarized light sources etc.1 After passing through volatile solutions2 or being twisted, the yarns or thin films can be further condensed into shrunk yarns. These shrunk yarns have high tensile strengths and Young’s moduli,2 and are good candidates for thermionic3 and field emission4 electron sources. Much effort has been put into controlled synthesis5, physical properties6,7 of super-aligned CNT arrays. Many applications have been achieved such as high reduced brightness field emission electron source8, low work function thermionic emission electron source9, epoxy-CNT composite materials10, and anodes for Lithium-ion Battery11etc. In this talk, I will talk about real applications of SACNTs, including CNT-based Nanogrid for HRTEM12, incandescent displays13, loudspeakers 14, and flexible touch screens15.1.K. L. Jiang, Q. Q. Li, S. S. Fan, Nature 419 (2002) 801.2.X. B. Zhang, K. L. Jiang, et al. Adv. Mater. 18 (2006) 1505.3.P. Liu, Y. Wei, K. L. Jiang et al. Phys. Rev. B. 73 (2006) 235412.4.Y. Wei, et al. Appl. Phys. Lett. 89 (2006) 063101.5.K. Liu, et al. Nano Lett. 8 (2008) 700.6.Y. Wei, K. L. Jiang, X. F. Feng, P. Liu, L. Liang, S. S. Fan, Phys. Rev. B. 76 (2007) 045423.7.K. Liu, et al. Nanotechnology 20 (2009) 335705.8.Y. Wei, K. L. Jiang, L. Liang, Z. Chen, S. S. Fan, Nano Lett. 7 (2007) 3792.9.L. Xiao, et al. Appl. Phys. Lett. 92 (2008) 153108.10.Q. F. Cheng et al., J. Mater. Res. 23 (2008) 2975.11.H. Zhang et al., Adv. Mater. 21 (2009) 229912.L. N. Zhang, et al. Nano Lett. 8 (2008) 2564.13.P. Liu et al., Adv Mater. 21 (2009) 3563.14.L. Xiao et al., Nano Lett. 8 (2008) 4539.15.C. Feng et al., accepted by Adv. Func. Mater. (2009)
3:00 PM - LL5.2
Understanding the Effect of Self Assembled Monolayers on the Electronic Properties of Carbon Nanotube Films.
Michael Vosgueritchian 1 , Melburne LeMieux 1 , Zhenan Bao 1
1 Chemical Engineering, Stanford University, Stanford, California, United States
Show AbstractSingle-walled carbon nanotubes (SWNTs) are a promising material for next generation electronic devices. In particular they have been shown to be good candidates for sensors, field effect transistors, and as transparent electrodes. Previously, our group has shown the ability to align and sort SWNTs via a solution deposition process by spin coating a solution of SWNTs on a functionalized surface. Solution deposition not only allows for low cost manufacturing but also the ability to build devices on flexible substrates. However, most surfaces do not have the interaction energy to deposit SWNT films in this manner. We address this issue by using a dry transfer printing method to transfer semiconducting rich and aligned SWNT films to several different surfaces that have been reacted to have a self assembled monolayer (SAM), each with a different functional group. Using this method we can maintain the alignment, sorting and density of the SWNT film allowing the direct comparison of surface effects of the different SAMs. Micro-Raman analysis along with device testing show differences in the electrical properties (on current, mobility, and threshold voltage) between the different SAMs, which can be attributed to electron exchange between the SAMs and SWNTs. This shows that it is possible to tune the properties of SWNT films by altering the surface chemistry. Not only does this have implications in the transport properties of SWNT devices, it also gives insight on the fundamental transport properties of SWNTs on surfaces.
3:15 PM - LL5.3
Three Dimensional Ultra Thin Anisotropic SWNTs Film.
Bo Li 1 , Younglae Kim 2 , Taehoon Kim 1 , Myung Gwan Hahm 1 , Moneesh Upmanyu 1 , Ahmed Busnaina 1 , Yung Joon Jung 1
1 Mechanical Engineering, Northeastern University, Boston, Massachusetts, United States, 2 Electrical & Computer Engineering, Northeastern Unviersity, Boston, Massachusetts, United States
Show AbstractSingle-walled carbon nanotubes (SWNTs) films have emerged as promising electronic materials for next-generation flexible and transparent thin-film electrode, transistors and sensors, with minimized device-to-device variation and enhanced stability. However, to build integrated systems based on SWNT film, it is required to have an ability to assemble SWNTs in desired structures, dimensions, and network architectures. For the first time, we will demonstrate scalable yet facile route for fabricating highly engineered ultra-thin anisotropic SWNTs films formed on three-dimensional (3-D) micro-patterned substrates using a highly controlled template guided fluidic assembly technique. In particular, the synthetic route involves assembly of SWNTs on two different three dimensional hydrophilic (SiO2) and hydrophobic (photoresist) microscale line structures. Due to the 3D hydrophilic and hydrophobic patterns, assembled ultra thin SWNTs film structures show continuous but alternating arrangement of the different thickness (5-50 nm) of SWNTs line patterns in microscale with the controllable thickness ratio from 2-10 in a single continuous SWNT film. The fundamental assembly mechanism leading to such unique structural anisotropy will also be discussed. Characterization studies reveal significant electrical and optical anisotropic properties of the resultant SWNTs film that patterns low/high electrical conductivity as well as light absorption in a single continuous SWNTs film. The anisotropy is a direct result of the anisotropy in the nanostructure, and therefore can be tuned by controlling the anisotropic 3D structure of ultra-thin SWNTs film. Moreover, by using a polymer casting transfer method, this 3D SWNTs film can be incorporated with photoactive polymer and completely transferred onto Poly(methyl methacrylate) resulting in an unique heterogeneous composite film with 3D SWNTs film and two different polymers, which were never reported before.
3:30 PM - **LL5.4
In Situ LEEM Studies of Thin Film Graphene Growth.
Rudolf Tromp 1
1 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractGraphene thin film growth has become an important field of study as the desire to take prototype graphene devices to the level of integrated graphene electronics requires the availability of large area, high quality graphene films on convenient and readily available substrates. We have used Low Energy Electron Microscopy (LEEM) to study the growth of graphene on both semiconducting and metallic substrates. LEEM offers the unique ability to monitor the growth in real time and with high spatial resolution, even at temperatures as high as 1500 Celsius. In this talk I will present recent advances, both in understanding and controlling growth, and discuss how processes developed in the LEEM system have affected electronic device performance.
4:00 PM - LL5: NPamp;NW
BREAK
4:30 PM - **LL5.5
Self-assembly of Epitaxial Magnetic Nanostructures.
Narayan Jagdish 1
1 Materials Sc and Eng, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractThis talk focuses on self-assembly processing of magnetic nanodots such as Ni, Ni-Pt, Fe-Pt during thin film growth by pulsed laser deposition. This self-assembly can be extended from two-dimensional to three-dimensional structures by controlling stresses/strains in the layers of composite structures. Magnetic properties are found to be a strong function of size, shape, orientation and chemical ordering. The primary focus of this talk is on epitaxial orientation of nanodots and integration of microelectronic/nanoelectronic devices on Si(100)(1). The epitaxial orientation is controlled by TiN buffer layer grown epitaxially on Si(100), and results compared with randomly oriented nanodots formed using amorphous alumina buffer. The epitaxial structures (Ni, Ni-Pt, Fe-Pt)/TiN/Si(100) involve lattice misfit ranging from 8% to 22%, which can be handled by our domain epitaxy paradigm (2). The DME paradigm involves matching of integral multiples of lattice planes across the interface, as the strain relaxation occurs by dislocations which represent either missing or extra planes (2). We discuss the optimization of structure and atomic ordering in Ni-Pt and FePt structures and correlations with magnetic properties by controlling thin film processing parameters and annealing conditions. (1)G. Trichy, G. Chakraborti, J. Narayan et al, Applied Physics Letters 92, 102504 (2008).(2) J. Narayan and B. C. Larson, J. Appl. Phys. 93, 278 (2003); US Patent #6,955,985 (2005).
LL6/OO6: Joint Session: Biomimetic and Hybrid Materials
Session Chairs
Qinghuang Lin
Paul Rothemund
Wednesday PM, April 07, 2010
Room 3020 (Moscone West)
4:30 PM - LL6.1/OO6.1
Directed Assembly of Patterned Mono and Multi-layered Cell Clusters Towards the Development of a Bioengineered Artificial Pancreas.
Adam Mendelsohn 1 , Tejal Desai 1 2
1 Joint Graduate Group in Bioengineering, UCSF/UC Berkeley, San Francisco, California, United States, 2 Bioengineering and Therapeutic Sciences, UCSF, San Francisco, California, United States
Show AbstractIn the treatment of type I diabetes, there is significant effort towards development of a therapy that provides effective blood-glucose homeostasis without requiring frequent patient action. Current standard of care treatments all require frequent needle-pricks for blood-glucose detection or glucose sensor calibration in addition to precise insulin delivery to achieve effective disease management. Transplantation of insulin-secreting pancreatic β-cell clusters or islets promises to obviate the need for needle- pricks and insulin delivery devices to provide the patient with an effective cure. The majority of therapies under development attempt to transplant human cadaver islets which after removal from the dense network of blood vessels in a healthy pancreas are often too large to maintain cell viability on the inside of large clusters. As a result, control over the size of transplanted clusters has recently emerged as an important factor determining clinical efficacy. The goal of this work is to provide a method for creating cell clusters of precisely defined dimensions for transplantation and to evaluate the effect that cluster size has on function. In this work, we functionalized glass cover slips with an aldehyde through a step-by-step covalent attachment of self-assembled monolayers. Extracellular matrix proteins that facilitate cell adhesion were covalently attached in defined areas through microcontact printing. The remaining exposed aldehydes were then covalently attached to mPEG-amine which inhibits cell attachment. The surfaces were characterized with water contact angle measurements, x-ray photoelectron spectroscopy, Fourier-transform infrared spectroscopy, and semi-quantitative fluorescence microscopy. 20-120 µm square protein patterns directed the assembly of monolayer cell clusters confined to the same area at low cell seeding densities, and multi-layered cell clusters at higher cell seeding densities. Semi-quantitative immunocytochemistry after glucose-stimulated insulin secretion revealed greater normalized insulin production from larger 2D clusters as well as multi-layered vs. mono-layered clusters confined to the same 2D area. Cluster sizes which exhibit optimal insulin production and viability can be removed from the surface for transplantation. This work demonstrates that directed cell cluster assembly results in precisely defined 2D and 3D clusters that may contribute towards the development of a clinically successful artificial pancreas for treating type I diabetes.
4:45 PM - LL6.2/OO6.2
Structural Transitions of DNA-surfactant Films in Response to Hydration and Temperature.
Surekha Gajria 2 , Thorsten Neumann 1 , Luc Jaeger 2 , Matthew Tirrell 1
2 Chemistry and Biochemistry, University of California Santa Barbara, Santa Barbara, California, United States, 1 Bioengineering, University of California Berkeley, Berkeley, California, United States
Show AbstractAnionic biopolymers such as RNA and DNA can self-assemble with cationic lipids into water-insoluble complexes driven by electrostatic attraction which are capable of forming self-standing films when cast from an organic solvent.1-5We have previously investigated the mechanical properties and structure of a DNA-didodecylammonium bromide (DDAB) film by tensile tests, small-angle X-ray scattering (SAXS), atomic force microscopy (AFM), circular dichroism (CD) and FT-IR-spectroscopy.4,5 Regardless of the type or length of nucleic acid chosen, the films have a lamellar structure with a repeat unit consisting of nucleic acid strands sandwiched between layers of DDAB.Recently we found that the structure of the films is flexible and undergoes a transition from monolayer of DDAB paired with single-stranded DNA when dry to double-stranded DNA and a bilayer of DDAB when wet.4 We have further probed the transition pathway of the structural switching that occurs as the film is exposed to varying levels of humidity and when doped with small interfering molecules like cholesterol and ethidium bromide.3 Heating the wet film above the melting temperature of the nucleic acid generates a third structural state which consists of single-stranded DNA and a bilayer of DDAB.This unique structural transition prompts further investigation into its cause and the extent to which it can be manipulated. To our knowledge no switching behavior as a function of water content such as we have seen for our films has been reported in the literature. This transition upon hydration could be used to manipulate the film into “on” and “off” states; i.e. biochemically inactive or “off” in dry state, and when hydrated changing its structure, possibly presenting therapeutic nucleic acids such as siRNA, peptides, or small molecules for release in vivo as the film degrades.We conclude that the state of DNA in the film depends on the surrounding water content and temperature, while the state of DDAB depends only on the water content. The structure of the film is flexible and can be altered by changing environmental conditions as well as the chemical ingredients. These films will have applications as responsive materials, e.g. in drug delivery. References:1.Hoshino, Y.; Tajima, S.; Nakayama, H.; Okahata, Y. Macromolecular Rapid Communications 2002, 23, 253-255.2.Ijiro, K.; Okahata, Y. Journal of the Chemical Society-Chemical Communications 1992, 1339-1341.3.Neumann, T.; Gajria, S.; Bouxsein, N. F.; Jaeger, L.; Tirrell, M. "Structural responses of DNA-DDAB films to varying hydration and temperature" (in preparation).4.Neumann, T.; Gajria, S.; Tirrell, M.; Jaeger, L. Journal of the American Chemical Society 2009, 131, 3440-3441.5.Smitthipong, W.; Neumann, T.; Gajria, S.; Li, Y.; Chworos, A.; Jaeger, L.; Tirrell, M. Biomacromolecules 2009, 10, 221-228.
LL5: Directed Assembly and Self Assembly of Nanoparticles and Nanowires
Session Chairs
Wednesday PM, April 07, 2010
Room 3016 (Moscone West)
5:00 PM - LL5.6
Ion Beam Induced Ripple Formation on Semiconductor Surfaces: Role of Sputtering.
Jia-Hung Wu 1 , W. Ye 1 , Yuming Jiang 1 , Emily Robb 1 , Rachel Goldman 1
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractIon beam sputtering of semiconductor surfaces has emerged as a promising approach to generate self-organized patterns of nanostructures. To date, self-organized patterns of both dots and ripples have been observed. Ripple formation has been attributed to anisotropic sputtering induced by off-normal incidence ion bombardment. For example, the Bradley-Harper model predicts that the ripple wave vector will be either parallel or perpendicular to the ion beam projected direction.1 To our knowledge, the formation of ripples with wave vector perpendicular to the ion beam angle of incidence have not been predicted or reported. Here, we report the formation of ripples using ion beams at normal incidence, using a new method to achieve anisotropic sputtering, namely, tuning the beam step (pitch) for fixed beam diameters. We have examined the formation and evolution of ripples on III-V semiconductor substrates using a 30 kV Ga+ focused ion beam. On surfaces with high binding energies, including GaN, GaP, GaAs, InP and InAs, patterns of dots are observed. On the other hand, for surfaces with low binding energies, including GaSb and InSb, FIB sputtering leads to ripple formation which depends on the local curvature of sputtered region.2 On InSb surfaces, FIB sputtering with the lowest pitch values leads to relatively flat surfaces with rms = 6.9nm. Beyond a threshold pitch, highly ordered ripple patterns with amplitudes = ~132nm and wavelengths ~100 nm are apparent. Interestingly, the crests of the ripples contain crystalline In-rich nanodots, presumably due to preferential sputtering of antimony. Further sputtering of InSb leads to the formation of arrays of polycrystalline InSb nanorods with In-rich heads. Detailed ripple and nanorod formation mechanisms based upon anisotropic sputtering will be discussed. This work is supported in part by AFOSR-MURI and ARO-DURIP.1) R. M. Bradley and J. M. E. Harper, J. Vac. Sci. Technol. A 6, 2390 (1988)2) M. Kang, J. H. Wu, W. Ye, K. Sun, and R. S. Goldman, to be submitted (2009)
LL6/OO6: Joint Session: Biomimetic and Hybrid Materials
Session Chairs
Qinghuang Lin
Paul Rothemund
Wednesday PM, April 07, 2010
Room 3020 (Moscone West)
5:00 PM - LL6.3/OO6.3
Towards Optically Active Hybrid Materials Using Bifunctional Inorganic Binding Peptides.
Turgay Kacar 1 2 , Yuhei Hayamizu 1 , Marketa Hnilova 1 , Emre Oren 1 , Candan Tamerler 1 2 , Mehmet Sarikaya 1
1 Genetically Engineered Materials Science and Engineering Center, Materials Science and Engineering Dept. , University of Washington, Seattle, Washington, United States, 2 Molecular Biology and Genetics, Istanbul Technical University, Maslak - Istanbul Turkey
Show AbstractGenetically engineered peptides for inorganics (GEPIs), isolated through biocombinatorial approaches utilizing, e.g., phage display and cell surface display peptide libraries, were used as linkers for metal and metal oxide nanoparticle immobilization on inorganic surfaces for optically active nanostructures. Specifically, we developed bi-functional GEPIs that consist of gold binding peptide (AuBP) and quartz binding peptide (QBP) that were chemically conjugated to each other. Subsequently, either silica or gold nanoparticle assembly was successfully carried out on gold and glass surfaces, respectively, The solid surfaces are functionalized using these bifunctional peptides whose either the AuBP or QBP end acts as the material specific “glue” for the surface. Following nanoparticle attachment, the substrates were characterized by atomic force microscopy and dark-field (DF) imaging using a fluorescence microscope. Alkanethiols, aminoalkylalkoxysilanes, and other chemical reagents, are the most common used linkers in the literature for covalent attachment of the nanoparticle to inorganic surfaces. Here, our results demonstrate that bifunctional-GEPIs can be an attractive alternative approach for the immobilization of nano-metallic and -oxide particles on any given solid substrate The novel molecular bifunctional-GEPI platform has enormous potential in practical applications in nanobiotechnology, e.g., in functionalization of core-nanoshell particles, core-satellite nanoparticle systems, immobilization of nanoparticles on substrates and on biomacromolecules towards functional utilization. The research is supported by NSF-MRSEC Program through the University of Washington GEMSEC (DMR 0520567), NSF-BioMat.
LL5: Directed Assembly and Self Assembly of Nanoparticles and Nanowires
Session Chairs
Wednesday PM, April 07, 2010
Room 3016 (Moscone West)
5:15 PM - LL5.7
Molecularly Templated Networks for Organic and Nano Electronics.
Dmitrii Perepichka 1
1 Chemistry, McGill University, Montreal, Quebec, Canada
Show AbstractThe single greatest advantage of organic molecules vs inorganic materials for electronic applications is their capacity to self-assemble into complex and, at times, functional architectures. Yet, practical applications of this property in organic/molecular electronics is essentially limited to self-assembled monolayers (SAMs, where the molecules are simply grafted on a surface with very limited lateral order) or 3D crystals (where the molecular packing is critical for charge transport phenomenon, but little or no rational control of this packing can yet be achieved).I will present our achievements in synthesis and surface characterization (STM and auxiliary techniques) of 2D pi-electron functional molecular networks, highlighting two major approaches: (i) using weak (H-bonding and electrostatic) interactions to control self-assembly of semiconducting molecules, and which symmetry and periodicity can be rationally and predictably controlled by the structure of a building block;[1] and (ii) exploring surface-confined reactivity to create pi-conjugated polymers through epitaxially-defined polymerization reactions.[2] The latest development of the second approach opens an opportunity for creating a new class of electronic materials– two dimensional (2D) conjugated polymers.[3] I will describe the principles of molecular design, the templating and catalytic role of the surface in formation of these ordered functional materials.[1] K.Nath et al. JACS 2006, 128, 4212; K.Nath et al. JPC-C 2007, 111, 16996; J.M.MacLeod et al. Nanotechn. 2007, 18, 424031; O.Ivasenko et al., Chem. Commun. 2009, 1192 (journal cover); J.M.MacLeod et al. JACS, in press[2] J.Lipton-Duffin et al. Small 2009, 5, 592 (journal cover); J.Lipton-Duffin et al., submitted[3] D.F.Perepichka, F.Rosei, Science 2009, 323, 216.
LL6/OO6: Joint Session: Biomimetic and Hybrid Materials
Session Chairs
Qinghuang Lin
Paul Rothemund
Wednesday PM, April 07, 2010
Room 3020 (Moscone West)
5:15 PM - LL6.4/OO6.4
Particle/Fluid Interface Replication as a Means of Producing Topographically Patterned Surfaces: Substrates for Supported Lipid Bilayers.
Anand Subramaniam 1 , Sigolene Lecuyer 1 , Kumaran Ramamurthi 3 , Richard Losick 3 , Howard Stone 2
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 3 Department of Molecular and Cellular Biology, Harvard University, Cambridge, Massachusetts, United States, 2 Department of Mechanical and Aerospace Engineering, Princeton University, Cambridge, New Jersey, United States
Show AbstractThere is intense interest in the role of geometry in the thermodynamics and dynamics of such systems as lipid bilayers, membrane proteins and block copolymers. Topographically patterned surfaces that impose well-defined gradients of curvature on surface adsorbed layers are a potential model to study these geometrical effects. Here we report a method for producing topographically patterned surfaces by replicating a fluid-fluid interface studded with colloidal particles. With this method we have fabricated geometrically simple surfaces, such as arrays of spherical features on planar surfaces and also surfaces with complex geometries such as replicas of whole bacterial cells, tubular nanoclays, and even multi-walled carbon nanotubes. Furthermore, chemically heterogeneous surfaces composed of silica, polystyrene, epoxy or poly(dimethyl)siloxane (PDMS), and chemically homogeneous surfaces composed of PDMS or epoxy can be made. As an example of the potential applications of these surfaces, we show that lipid bilayers that are supported on all-PDMS topographically patterned substrates undergo curvature-modulated phase separation.
LL5: Directed Assembly and Self Assembly of Nanoparticles and Nanowires
Session Chairs
Wednesday PM, April 07, 2010
Room 3016 (Moscone West)
5:30 PM - LL5.8
Directed Nanoparticle Assembly Creating Three-dimensional Structures and Surfaces.
Tzu-chia Tseng 1 2 , Michael Mackay 2
1 Chemical Engineering & Materials Science, Michigan State University, East Lansing, Michigan, United States, 2 Materials Science & Engineering, University of Delaware, Newark, Delaware, United States
Show AbstractWe use a directed nanoparticle assembly technique to create three-dimensional surfaces, which can support curved but continuous polymer thin films even in the liquid state. Nanoparticle assembly to an interface in polymer films is driven by the interplay of entropy and surface energy. This assembly energy is so strong that it can overcome surface tension forces induced by the curved features and enables the film to follow the surface protrusions instead of dewetting from the substrate. This assembly technique was demonstrated by using blends of polystyrene and CdSe nanoparticles (~ 5 nm in diameter) spin coated onto the substrates containing sparsely distributed SiO2 particles (~ 120 nm in diameter). Film profiles of different thicknesses were characterized using AFM while cross-sectional TEM was performed to provide the three dimensional film profile contour and the layered assembly of nanoparticles. These three-dimensional surfaces are optimal for constructing the active layer of nanoparticle-polymer hybrid solar cells among other uses.
LL6/OO6: Joint Session: Biomimetic and Hybrid Materials
Session Chairs
Qinghuang Lin
Paul Rothemund
Wednesday PM, April 07, 2010
Room 3020 (Moscone West)
5:30 PM - LL6.5/OO6.5
Isotropic Diffraction from a Two-dimensional Biomimetic Self-assembled `moth-eye’ Grating.
Petros Stavroulakis 1 , Stuart Boden 1 , Darren Bagnall 1
1 School of Electronics and Computer Science, University of Southampton, Southampton, Hampshire, United Kingdom
Show AbstractThe cornea of some species of night flying moth is covered in protuberances organized in close-packed tessellated structures, which create an effective grated refractive index metamaterial layer. This discovery from Bernard [1] has since spearheaded the concept of using subwavelength gratings as alternative methods to thin film layers for achieving optical antireflection. In this work, interest is focused on the pattern itself by studying it’s 2D Fourier transform. It is shown that this type of moth-eye pattern appears to be a naturally-evolved counter-intuitive method of realising very high optical rotational symmetry which was confirmed by analysis of the grating’s optical diffraction pattern. A close-packed tessellated two dimensional diffraction grating, consisting of silicon pillars in air, was defined via self-assembly of polystyrene nanospheres on the surface of the silicon substrate followed by anisotropic etching. This pattern was compared to the sunflower pattern which is another heavily studied biomimetic pattern, with very high optical rotational symmetry, whose diffraction pattern consists of circular Bragg rings [2]. A sunflower pattern diffraction grating was created in silicon by e-beam lithography and anisotropic etching. A white-light laser reflectometry system was used to measure and compare the diffraction pattern from both structures. It was confirmed that, owing to the fact that the close-packed structure has been tessellated randomly, the diffraction pattern from the structure is isotropic compared to the six fold symmetry of the underlying close-packed pattern it consists of. The diffraction pattern observed from the nanosphere sample is less homogeneous but equally isotropic to the one observed from the sunflower pattern.The moth-eye biomimetic sample was created on a large area (~2x2cm2) and hence the assumption that the isotropic nature of the moth eye pattern is not sensitive to the point of contact with the incident beam, was also confirmed by measuring the isotropic nature of the diffraction pattern in multiple locations on the sample. The opposite behaviour that is suggested for the sunflower pattern [3] was not confirmed due to the very small size of the pattern. A main advantage of the moth-eye biomimetic pattern is that it can be manufactured, as shown in this work, via nanosphere lithography which is a cheap and massively parallel self-assembly nanomanufacturing technique.[1]C.G. Bernard, "Structural and functional adaptation in a visual system," Endeavour, vol. 26, 1967, pp. 79-84.[2]A. Agrawal, N. Kejalakshmy, J. Chen, B.M. Rahman, and K.T. Grattan, "Golden spiral photonic crystal fiber: polarization and dispersion properties," Optics Letters, vol. 33, 2008, p. 2716.[3]M.E. Pollard and G.J. Parker, "Low-contrast bandgaps of a planar parabolic spiral lattice," Optics Letters, vol. 34, 2009, p. 2805.
LL5: Directed Assembly and Self Assembly of Nanoparticles and Nanowires
Session Chairs
Wednesday PM, April 07, 2010
Room 3016 (Moscone West)
5:45 PM - LL5.9
Transparent and Conductive Copper Nanofiber Networks and Patterns.
Hui Wu 1 , Liangbing Hu 1 , Yi Cui 1
1 , Department of Materials Science and Engineering, Stanford Univ., Stanford, California, United States
Show AbstractThin conducting films consisting of one-dimensional nanostructures are currently of great technological interest, in particular as transparent electrodes for thin-film solar cells, light-emitting diodes, display technologies and many other optoelectronic applications. Herein, a template-catalyst-free method for the preparation of conductive and transparent metal nanofiber mesh is reported. Copper nanofibers with diameter of ~80 nm and length over 10 um were prepared by reduction of electrospun oxide nanofibers. Due to the continuous 1D nanostructure with extremely high aspect ratio, the nanofiber web exhibited metallic conductivity over large areas, high transparency, and flexibility. Further, we fabricated oriented Cu nanofiber arrays and patterned fiber grids by employing a modified fiber collector during electrospinning. Anisotropic electrical conductivities were obtained from this Cu nanofiber arrays. This is the first demonstration of transparent electrode with directional conductivities using scalable process. This work suggests that our copper nanofiber webs and patterns can be a highly scalable and low cost solution for high performance photovoltaics, touch screen and other optoelectronic devices.
LL6/OO6: Joint Session: Biomimetic and Hybrid Materials
Session Chairs
Qinghuang Lin
Paul Rothemund
Wednesday PM, April 07, 2010
Room 3020 (Moscone West)
5:45 PM - LL6.6/OO6.6
Formation of Helical Cylindrical Micelles via Kinetic Self-assembly of Block Copolymer in Solution.
Sheng Zhong 1 , Ke Zhang 2 , Karen Wooley 2 , Darrin Pochan 1
1 Materials Science and Engineering, University of Delaware, Newark, Delaware, United States, 2 Center for Materials Innovation, Department of Chemistry and Department of Radiology, Washington University in Saint Louis, Saint Louis, Missouri, United States
Show AbstractOne dimensional polymeric helical micelle is a perfect example of the importance in self-assembly of macromolecules for nanotechnology. We report here that multimicrometer-long, helical cylinders can be produced from co-assembly of poly(acrylic acid)-block-poly(methyl acrylate)-block-polystyrene (PAA-b-PMA-b-PS) triblock copolymers with an excessive amount of multivalent amino molecules in THF/water mixtures. The key to generate such helical nanostructures is the control of the assembly kinetics. Cryogenic transmission electron microscope (cryo-TEM) studies clearly revealed that the kinetic pathway underwent a complex but reproducible structural transition from the long-range stacking of branched cylinders at early stage to the interconnection of these branched cylinders and then to the helical nano-cylinders. We hypothesized that this evolution process involved a redistribution of the excess multivalent amino molecules around hydrophilic corona. We also found that the final assemblies were greatly influenced by the type and amount of the amino molecules, the volume ratio of water in THF as well as the block length of polystyrene block. Further study indicates the mechanical properties of assembled polymeric nanostructures are greatly subject to the composition and architecture of the block copolymers.
Symposium Organizers
Qinghuang Lin IBM T. J. Watson Research Center
James J. Watkins University of Massachusetts
Amit Goyal Oak Ridge National Laboratory
Jeffrey J. Urban Lawrence Berkeley National Laboratory
LL7: Novel Assembly Methods, Structures and Properties i
Session Chairs
Thursday AM, April 08, 2010
Room 3016 (Moscone West)
9:15 AM - **LL7.1
Merging Evaporation-induced Self-assembly With Plasma-directed Atomic Layer Deposition to Fabricate Natural Designs in Silico.
C. Jeffrey Brinker 1 2
1 Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico, United States, 2 Self-Assembled Materials, Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractNature combines hard and soft materials in hierarchical architectures to get synergistic, optimized properties and combinations of properties with proven, complex functionalities. Emulating such natural material designs in robust engineering materials using efficient processing approaches amenable to manufacturing represents a fundamental challenge to materials scientists and engineers. An interesting example is how to design and fabricate a low k dielectric thin film with the optimum combination of modulus and dielectric constant. Natural porous materials such as bone, wood and pith evolved to maximize modulus for a given density. For these three-dimensional cellular solids, modulus scales quadratically with relative density. But can nanostructuring improve on Nature’s designs? We have employed evaporation-driven self-assembly as a means to create porous and composite thin film nanostructures using simple commercial procedures like dip or spin-coating and ink-jet printing. Starting with a homogenous solution of surfactant plus hydrophilic silica precursors, solvent evaporation concentrates the depositing film in precursors and surfactant inducing micelle self-assembly and further self-organization into thin film silica/surfactant mesophases. For these nanoscale cellular solids, we used nano-indentation to establish modulus–density scaling relationships. Over the relative density range, 0.5 to 0.65, Young’s modulus scales nearly linearly with density. Scaling exponents less than 2 emphasize that the moduli are less sensitive to porosity than those of natural cellular solids, which possess extremal moduli based on linear elasticity theory. Using molecular modeling and Raman and NMR spectroscopy, we showed that uniform nanoscale confinement causes the silica framework of self-assembled silica to contain a higher portion of small, stiff rings than found in other forms of amorphous silica. The nanostructure-specific hierarchy and systematic increase in framework modulus we observe, when decreasing the silica framework thickness below 2 nm, provides a new ability to maximize mechanical properties at a given density needed for nanoporous materials integration. Mechanical robustness combined with tailored pore structure is also of interest for membranes. Natural membranes such as ion and molecular channels achieve an exquisite combination of selectivity and flux by control of both pore size and thickness – they are only as thick as a cell membrane, ~4-nm. Such ultra-thin membranes maximize flux but are challenging to manufacture. Using a remote plasma where the plasma mean free path >> pore size, we can direct ALD to only the immediate pore entrance to allow progressive reduction in the membrane pore size with sub 0.1-nm control over a thickness of only several nm. The resulting membranes exhibited He/N selectivities of > 1000, while maintaining very high flux. Similar strategies are of interest for water purification and DNA sequencing in nanopores.
9:45 AM - LL7.2
Creating a Library of Complex Metallic Nanostructures via Harnessing Pattern Transformation of a Single PDMS Membrane.
Shu Yang 1 , Ying Zhang 1 , Jason Reed 2
1 Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 2 Materials Science and Engineering, Cornell University, Ithaca, New York, United States
Show AbstractThe increasing interest in discovering and understanding new properties and phenomena of smaller and more sophisticated structures has stimulated extensive effort to develop new patterning techniques that will allow for fast and economical processes to create high resolution, small features. Depending on the size, shape, and array geometry, nanostructured materials have a broad array of potential technological applications, including data storage, photonics, phononics, organic electronics, and sensing. Recently, a few groups have explored the elastic deformation of poly(dimethylsiloxane) (PDMS) films to create new patterns that are different from the original master and with smaller feature sizes. For example, by combination of solvent swelling and simultaneous convective assembly of nanoparticles, we demonstrate formation of complex patterns with sub-100 nm features with anisotropic unit cells over cm2 regions in a single step. Interestingly, the nanoparticle films assembled from the swollen PDMS membranes are not flat but possess three-dimensional (3-D) surface topography. By taking advantage of the 1) versatility and dramatic size reduction of the pattern transformation in PDMS membranes and 2) 3 D topography in the nanoparticle films, we demonstrate patterning of a library of complex nanostructures in Au with variable feature size, connectivity, and geometry, including arrays of diamond-plate patterns (continuous porous film and isolated ellipses), compound structures of circular dots and elliptical lines, heartbeat waves, aligned ovals, and a rhombus lattice of holes and lines, all from a single PDMS membrane consisting of a square lattice array of circular pores.
10:00 AM - **LL7.3
Self-assembly and Interface Engineering of Organic Functional Materials for Photonic and Opto-electronic Applications.
Alex Jen 1
1 Materials Science & Engineering, Univ. of Washington, Seattle, Washington, United States
Show AbstractOrganic conjugated materials have been explored for a wide array of photonic and opto-electronic applications such as ultralow voltage and high-speed electro-optic (E-O) modulators, solid-state lighting, and solar cells. The capability to control their properties at the nano-level and translate them into the macro-level through molecular design, synthesis, self-assembly, and processing of these materials has empowered scientists to demonstrate unprecedented material and device performance. In this talk, we will discuss two examples of using these integrated approaches to improve the performance of organic conjugated materials for high-performance and stable E-O polymers and photovoltaic cells. By using the combined supramolecular self-assembly and controlled lattice hardening, unprecedented E-O activities (>500 pm/V @1310nm) have been demonstrated. These results have inspired the vigorous development of innovative photonic devices, such as low drive voltage polymer modulators, polymer-silicon hybrid devices, broadband terahertz generation and detection, and advanced CMOS/photonic interconnects. By developing novel low band gap conjugated polymers with good charge-transporting properties and modifying the interfaces between the organic/metal oxide and organic/metal layers with functional self-assembling monolayers (SAMs) to tune their energy barriers, the performance of polymer solar cells are significantly improved.Invited Talk: Symposium LL- Directed Assembly and Self Assembly—From Synthesis to Device Applications
10:30 AM - LL7.4
Well Ordered Hybrid Materials via Additive Driven Self Assembly of Low Cost Polymer Templates.
Vikram Daga 1 , Eric Anderson 1 , Curran Chandler 1 , Ying Lin 1 , James Watkins 1
1 Polymer Science and Engineering, University of Massachusetts, Amherst, Massachusetts, United States
Show AbstractOrdered polymer-inorganic hybrid materials are of interest in applications ranging from next generation lithography, to energy conversion and storage, to electronics and displays. Microphase segregated block copolymer melts are attractive candidates for templating these nanostructures due their ability to spontaneously form periodic spherical, cylindrical, bicontinuous and lamellar morphologies at controllable length scales. Their utility in large scale applications, however, has been limited by the cost and scalability of the synthetic techniques necessary for their synthesis.Recently we reported that well ordered, processible, and functional polymer melts with periodic nanostructures can be obtained in bulk quantity by blending of commercially available poly (oxyethylene-oxypropylene-oxyethylene) triblock copolymer surfactants with a series of commodity homopolymers, including poly(acrylic acid), poly (4-vinyl phenol) or poly (styrene sulfonate) that selectively associate with one of blocks through hydrogen bonding. This talk will describe an extension of that approach and demonstrate that functional nanoparticles or non-polymeric additives that contain multiple hydrogen bonding sites at their periphery, such as single aromatic or multi-ring aromatic cores and silsesquioxane cages with pendant –COOH and –NH2 groups, can likewise induce order in otherwise disordered surfactant melts. In comparison to polymeric additives, the nanoparticles and non-polymeric additives offer additional structural, chemical and functional flexibility. By establishing heuristics for additive-induced assembly, we can now prepare self-organized functional materials, including ultrahigh resolution resists, and media for separations and energy generation and storage applications. The principles employed in this additive driven assembly technique are quite general and suggest a low cost, high volume strategy to produce ordered hybrids with spherical, cylindrical, or lamellar morphologies.
10:45 AM - LL7.5
Super Gas Barrier Thin Films via Layer-by-layer Assembly of Polymer and Clay.
Jaime Grunlan 1 2 , Morgan Priolo 2
1 Mechanical Engineering, Texas A&M University, College Station, Texas, United States, 2 Materials Science and Engineering Program, Texas A&M University, College Station, Texas, United States
Show AbstractThin composite films of branched polyethylenimine (PEI), polyacrylic acid (PAA) and sodium montmorillonite clay (MMT) platelets were prepared using layer-by-layer assembly. Film thickness, mass deposited per layer, and barrier were shown to increase exponentially with the number of deposition cycles. After 32 layers (i.e., eight PEI/PAA/PEI/MMT quadlayers) are deposited, the resulting transparent film exhibits an oxygen transmission rate below the detection limit of commercial instrumentation (< 0.005 cm3/m2/day). This level of oxygen barrier is believed to be due to a nano-brick wall microstructure comprised of exfoliated clay bricks in polymeric mortar, where the enhanced spacing between MMT layers, provided by PEI and PAA, creates channels perpendicular concentration gradient that delay the permeating molecule. These films are good candidates for flexible electronics, food, and pharmaceutical packaging due to their transparency, super gas barrier (that rivals SiOx) and lack of metal.
11:00 AM - LL7: MSP-1
BREAK
11:15 AM - **LL7.6
Fabricating Devices Through Directed Assembly of Nanocrystal Superlattices.
Christopher Murray 1 2 , Xingchen Ye 2 , Weon-Kyu Koh 2 , Dong-Kyun Ko 1 , Taejong Paik 1 , Jun Chen 1 , Danielle Reifsnyder 2
1 Materials Science & Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 2 Chemistry, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractDirected self-assembly of nanocrystals with controlled crystal shape, structure and surface passivation provides a power full rout to nanomaterials integration and device fabrications. The tunability of the electronic, magnetic, and optical properties of the nanocrystals has lead to them being compared to a set of "artificial atoms" This talk will briefly outline some of the current “best practices” in preparation, isolation and characterization of semiconducting, metallic nanocrystals and nanophosphors and their assembly in to single component and binary nanocrystal superlattices. Transport studies on nanocrayal assemblies and the design of new nanocrysal films for spectral management in photovoltaic devices will be shared. Although modular nano-assembly approach to device fabrication has already been extended to a wide range of nanoparticle systems, we are confident that we have produced only a tiny fraction of the materials and devices that will soon be accessible.
11:45 AM - LL7.7
Directed Assembly of Nanoparticles Using Self-assembled Peptide Templates.
Nikhil Sharma 1 2 , Joel Schneider 3 , Kristi Kiick 1 2 , Darrin Pochan 1 2
1 Materials Science & Engineering, University of Delaware, Newark, Delaware, United States, 2 , Delaware Biotechnology Institute, Newark, Delaware, United States, 3 Dept. of Chemistry & Biochemistry, University of Delaware, Newark, Delaware, United States
Show AbstractBottom up nanofabrication holds the potential for engineering matter at scales that are limit of current lithographic capability. Herein we describe the template-directed organization of inorganic nanoparticles into linear arrays using two distinct, hierarchical assembled peptide nanostructures. First, a 20 amino acid peptide, consisting of alternating lysine and valine residues flanking a central diproline turn sequence (VKVKVKVKVPPTKVKVKVKV-NH2) was employed as a template for the organization of 2nm gold particles. This peptide self assembles into a laminated morphology in solution and has a periodic nanostructure. Negatively charged nanoparticles are templated into the positively charged lysine layer and are aligned within the laminated template to form laterally spaced (2D) linear arrays. Second, a long chain alanine-rich polypeptide was also used to create 1D nanoparticle assemblies. This peptide assembles into fibrils with monodisperse widths and presents charged functional groups in a desired periodic fashion along the length of the fibril. These functional groups bind nanoparticles that results in their spatially modulated linear arrangement.
12:00 PM - **LL7.8
Non-equilibrium Nanoscale Self-organization.
Michael Aziz 1
1 , Harvard School of Engineering and Applied Sciences, Cambridge, Massachusetts, United States
Show AbstractFocused and unfocused ion beam irradiation of a solid changes the surface morphology by sputter erosion and material relaxation processes. Their interplay can result in self-organized nanoscale corrugation, dot, or hole patterns with periodicities down to 7 nm; self-sharpening high-sloped shock fronts that propagate instead of dissipating and evolve to the same slope from a range of initial slopes; and controlled closure of nanopores with applications to single biomolecule detection. Current understanding of these phenomena will be reviewed from an experimental and a theoretical perspective.
12:30 PM - LL7.9
Efficient and Color-tunable Photoluminescence of Periodic Mesostructured Organosilica Films.
Norihiro Mizoshita 1 2 , Yasutomo Goto 1 2 , Yoshifumi Maegawa 1 2 , Takao Tani 1 2 , Shinji Inagaki 1 2
1 , Toyota Central R&D Laboratories, Inc., Nagakute, Aichi Japan, 2 , Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST), Kawaguchi, Saitama Japan
Show AbstractOrganosilica hybrid materials with periodic mesostructures have great potential for various applications such as catalysts, adsorbents, and optical devices. Compared with organic-functionalized mesoporous hybrids obtained by surface modification or grafting of mesoporous silicas, periodic mesostructured and mesoporous organosilicas synthesized using organic-bridged precursors ((R'O)3Si-R-Si(OR')3) have advantages such as dense fixation of large amounts of organic groups and functionalization of frameworks without blocking mesochannels. In particular, film-shaped mesostructured organosilicas prepared by acidic sol-gel polycondensation in the presence of template surfactants are promising for optical materials due to high transparency, flexibility in shape, and efficient excitation energy transfer from organosilica frameworks to guest dyes doped in the mesopores. Here we propose a new strategy for multicolor photoluminescent materials by applying periodic mesostructured organosilica films. Blue-light-emitting mesostructured organosilica films with quantum yields of over 50% were successfully obtained using bridged organosilane precursors containing highly fluorescent chromophores, 1,4-bis(styryl)benzene and 1,3,6,8-tetraphenylpyrene derivatives. Ordering of mesostructures and optical properties of the films were improved by addition of appropriate amounts of tetraethyl orthosilicate (TEOS). Doping of fluorescent dyes exhibiting yellow light emission into the mesostructured films brought about excitation energy transfer from the blue-light-emitting chromophores in the frameworks to the doped dyes and the emission colors were flexibly tuned from blue to white and yellow. Enhancement of fluorescence quantum yields by dye doping was also observed for the mesostructured films due to well dispersion of the highly fluorescent dyes without strong quenching.
12:45 PM - LL7.10
Directed Self-assembly of 3D Polymeric Nanostructures by Thermal Field Gradients.
Yu Liu 1 , Sandra Troian 1
1 Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractConventional patterning of semiconductor devices relies mostly on optical projection techniques whose resolution limit is set by the Rayleigh/Abbe diffraction criteria. This technique, based on step-and-repeat processing of photoresist layers, is suited to electronic applications requiring the highest resolution. There is increasing demand, however, for more rapid, less costly patterning techniques, compatible with curved substrates and polymeric materials, for manufacture of photonic, optoelectonic and biofluidic devices. Among the more unusual concepts proposed is the use of fluid instabilities [1] to produce coherent, self-assembly of 3D structures whose feature size can be controlled through the equation governing the fastest growing wavelength.We review recent observations [2] describing spontaneous formation of nanopillar arrays in molten polymer films inserted within a slender gap whose surfaces are held at different temperatures. The nanopillars, which grow to span the entire gap, solidify instantaneously with ultra smooth surfaces once the thermal field is removed. It is currently believed that interfacial reflections of acoustic phonons generate a destabilizing radiation pressure which counteracts the force of surface tension. This competition establishes the scale for the fastest growing wavelength, shown to correlate closely with measurements of the array pitch. A re-analysis of this system, however, has revealed the possibility that thermocapillary stresses oriented parallel to the air/polymer interface play a crucial if not dominant role in these formations [3]. Accordingly, thermal perturbations in film thickness generate periodic modulation of the surface tension, which drives the growth and elongation of incipient protrusions toward the cooler substrate. We will discuss efforts in our laboratory to monitor the formation and growth of nanopillar arrays under well-controlled conditions in order to validate the thermocapillary mechanism proposed. In particular, we investigate results of the instability wavelength as a function of increasing ratio h/d, where h is the initial polymer film thickness and d the initial gap separation distance. Since this wavelength essentially determines the smallest possible lateral feature size, it is critical to establish its dependency on various experimental parameters. Measurements of the evolving shape and time required to produce elongations of a given height will also be presented with the goal of increasing structure aspect ratios. It is hoped that these studies can help guide the design of functional devices by taking full advantage of the inherent regularity, smoothness and robustness of self-organized nanostructures.[1] J. Petersen and S. G. Mayr, J. Appl. Phys., 103, 023520 (2008)[2] E. Schaffer et al., Europhys. Lett. 60, 255 (2002)[3] M. Dietzel and S. M. Troian, Phys. Rev. Lett., 103, 074501 (2009)
LL8: Directed Assembly and Self Assembly: Simulation and Modeling
Session Chairs
Jeff Brinker
James Watkins
Thursday PM, April 08, 2010
Room 3016 (Moscone West)
2:30 PM - **LL8.1
Synthesis and Self Assembly of Polymer-magnetic Nanoparticle Composites Comprised of Monodisperse Cobalt Ferrite.
Alshakim Nelson 1 , Qiu Dai 1
1 , IBM Almaden Research Center, San Jose, California, United States
Show AbstractMagnetic nanocrystals represent a class of materials that have a broad range of potential applications including magnetic storage media and medical therapeutics. As a result, there is an increasing demand to not only develop protocols for synthesizing monodisperse nanoparticles via colloidal synthesis, but also new processes for stabilizing and assembling these particles into well ordered arrays. The goal of our work is to utilize these particles to develop prototype magnetic tape media, which not only depends upon our ability to control precisely their composition, shape, and size, but also on the surface modification of CoFe2O4 nanocrystals with controlled shell thickness. We have successfully synthesized monodisperse CoFe2O4 nanoparticles with a diameter of 18 nm. The as-synthesized CoFe2O4 nanocrystals were protected with oleic acid surfactants and exhibit a coercivity of 1000 Oe. The block copolymer, polyacrylic acid-b-polystyrene (PAA-b-PS), was utilized to modify the surface of CoFe2O4 nanocrystals. The PAA block can exchange with oleic acid surfactant to provide colloidal solubility and stability in a variety of solvents. The thickness of polymer shell can be tuned readily by changing the molecular weight of block copolymers. These polymer-nanoparticle composites can be processed into thin film assemblies, and are valuable for developing future magnetic materials via self assembly.
3:00 PM - LL8.2
Structural Diversity at the Nanoscale.
Elena Shevchenko 1 , Paul Podsiadlo 1 , Galyna Krylova 1 , Dmitri Talapin 2 1 , Tijana Rajh 1
1 Argonne National Laboratory, Center for Nanoscale Materials, Argonne, Illinois, United States, 2 , University of Chicago, Chicago, Illinois, United States
Show AbstractNanoparticles (NPs) can serve as building blocks to fabricate the nanocrystal solids. In such solids NPs can be randomly packed or organized into periodic structures with different degree of ordering. At the example of PbS, PbSe, CdSe and CoPt3 we will analyze the correlation between the method of preparation of nanocrystals solids, degree of ordering, interparticle spacing and structural stability of the periodic structures under annealing. We will demonstrate that the annealing can be efficiently utilized in order to manipulate the interparticle spacing in periodic lattices [1]. The mechanical propertied of nanocrystals solids will be discussed. Also we will discuss the role of capping ligands and stability of the nanoparticle’ surface in the self-assembly of monodisperse NPs and multicomponent mixtures [2] and discuss the strategy towards the preparation of three-dimensional colloidal crystals consisting of different particles. We will show that in addition to periodic AlB2-type and CaB6-type phases other intermediate crystalline phase with eg (3(2),4,3,4) and (3(3),4(2)) Archimedean tiling exist. The same combination of nanoparticles can lead to the dodecagonal phase that lacks the translational symmetry laterally [3]. We will propose the mechanism of the formation of quasicrystalline nanoparticle superlattices. 1. B. Lee, P. Podsiadlo, S. Rupich, D.V. Talapin, T. Rajh, E.V. Shevchenko. J. Am. Chem. Soc. 2009, ASAP. 2. E. V. Shevchenko, D. V. Talapin, N. A. Kotov, S. O’Brien, C. B. Murray.. Nature 2006, 439, 55-593. D.V. Talapin, E.V. Shevchenko, M.I. Bodnarchuk, J. Chen, X. Ye, C.B. Murray. Nature, 2009, 461, 892-893.
3:15 PM - LL8.3
Controlled Carbon Nanotube Junctions Self-assembled from Graphene Nanoribbons.
Hanqing Jiang 1 , Lan He 1 , Junqiang Lu 2
1 , Arizona State University, Tempe, Arizona, United States, 2 , University of Puerto Rico, Mayaguez, Puerto Rico, United States
Show AbstractAlthough considerable progress has taken place in the area of carbon nanotube (CNT) junction synthesis, properties and applications, major obstacles still remain in controlled synthesis, posing significant limitations for the development of new CNT-based applications. Existing approaches for synthesizing CNT junctions primarily inherit from that of CNTs and intrinsically bear some shortcomings. As a result, scientific community desires a new approach capable of precisely controlling the synthesis of CNT junctions. Geometrically, the synthesis of CNTs can be “imagined” as a rolling process of a narrow graphene ribbon. The appearance and manipulation of GNRs make the imaginary “rolling-up” process promising. The literature thus far has demonstrated the ability to realize single or multi- layers of freestanding GNRs with well-defined nanoscale width (as narrow as 2.5 nm) and lattice-defined graphene edges (armchair vs. zigzag). Here, we report a new approach for synthesizing CNT junctions, based on self-assembling process from two tailored graphene nanoribbons (GNRs). CNT junctions with two-, three- and four terminals, starting from GNRs either with perfect or irregular tailoring, are synthesized. The functionality of these self-assembled CNT junctions for nano-electronics is then confirmed using charge transport simulations. Based on state-of-the-art experimental capability, this approach with identified scalability down to atomic scale and screening-free selectivity is practically realizable and desirable for individually controlling both the chirality and shape of CNT junctions, thereby dramatically improving their effectiveness and further expanding their application repertoire.
3:30 PM - LL8.4
Mechanical Behavior of Carbon Nanotube Aerogel by Directed Assembly: A Molecular Dynamics Study.
Yao Fu 1 , Mesut Kirca 1 , Albert To 1 2
1 Civil and Environmental Engineering, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractThe recently developed carbon nanotube (CNT) aerogel by directed assembly is a highly porous and ultralight material that has extraordinary stiffness-to-weight ratio and electrically conductive nature [1]. Material topology of a CNT aerogel can be identified as a CNT network in which the nanotubes are randomly oriented and joined to each other at crosslink points. The crosslinks between the nanotubes are created by heating the initially non-bonded CNT assembly to a high temperature ranging from 2500 K to 3500 K [2]. In this study, the mechanical behavior of CNT aerogels is examined by a coarse-grained model. The coarse-grained model is developed by reducing nanotubes to continuum beam units for the investigation of the elastic behavior of CNT aerogels. Within this model, harmonic bonds are adopted to represent mechanical stretching and bending degrees of freedom. The inter-tube spring stiffness values are obtained from numerical experiments carried out by molecular dynamics (MD) simulations of two welded tubes modeled with full atomic details. The coarse-grained model will be validated by the full atomistic model in small cell studies. The resulting coarse-grained model is employed to investigate the constitutive behavior of CNT aerogel. The observed mechanical behavior will be compared to the elastic model developed for semiflexible polymers owing to the similarity of the two materials. References:[1] Mateusz B. B., Milkie D.E, Islam M.F., Hough L.A., Kikkawa J.M. and Yodh A.G., ‘Carbon Nanotube Aerogels’, Adv. Mater.,(2007) 19, 661-664, [2] Meng F.Y., Shi S.Q., Xu D.S. and Yang R., ‘Size effect of X-shaped carbon nanotube junctions’, Carbon, 44 (2006), 1263-1266.[3] Blundell J.R. and Terentjev E.M, ‘Stretching semiflexible filaments and their networks’, Macromolecules, 42, 5388-5394 (2009).
3:45 PM - LL8.5
On the Magnetic Characterization and Self-assembly of Nanospheres and Nanocubes.
German Salazar-Alvarez 1 , Erik Wetterskog 1 , Lennart Bergstroem 1 , Sabrina Disch 2 , Raphael Hermann 2 , Thomas Brueckel 2
1 Physical, Inorganic, and Structural Chemistry, Stockholm University, Stockholm, Sthlm, Sweden, 2 Institut für Festkörperforschung, JCNS and JARA-FIT, Forschungszentrum Jülich, Jülich Germany
Show AbstractThe assembly of semiconducting and magnetic nanoobjects into ordered superstructures is attractive from the scientific and technological viewpoints due to their symmetry and potential applications. Typically, self-assembled arrays have been obtained using spheroidal nanoparticles as main constituents. Structural diversity has been achieved by packing of spheres of different sizes that result in binary arrays.[1] Recently, it was shown that it is possible to build mesocrystals based on anisotropic nanocubes. The size of these mesocrystals could be enhanced by applying a weak magnetic field perpendicular to the substrate.[2] Moreover, it has also been shown that there are clear magnetic differences between g-Fe2O3 nanospheres and nanocubes. It was found that the lower symmetry of the nanocubes results in lower blocking temperature and smaller coercivity.[3] In this presentation we show the results on the fabrication of self-assembled arrays based on nanospheres and nanocubes. The nanospheres form hexagonal close-packed arrays whereas the nanocubes form square arrays. We constructed a free energy model based on van der Waals and magnetic dipolar particle-particle interactions that relates the particle morphology to the observed structure of the mesocrystals. The model can be expanded to account for the anisotropic van der Waals interactions between the nanocubes.References[1] a) E. V. Shevchenko et al., Nature 439, 55–59 (2006). b) Z. Chen & S. O'Brien, ACS Nano 2, 1219–1229 (2008).[2] A. Ahniyaz, Y. Sakamoto, and L. Bergström, Proc. Natl. Acad. Sci., 104, 17570-17574 (2007).[3] G. Salazar-Alvarez et al., J. Am. Chem. Soc., 130, 13234–13239 (2008).
4:30 PM - **LL8.6
Hierarchical Modeling of Directed Self Assembly.
Juan de Pablo 1
1 Chemical and Biological Engineering, University of Wisconsin, Madison, Wisconsin, United States
Show AbstractA hierarchical modeling approach is adopted to conduct a systematic study of the morphologies that arise when thin films of block copolymer materials are deposited on nanopatterned surfaces. Particular emphasis is placed on the concept of pattern interpolation, in which a minimal set of features is patterned on the substrate, and the copolymer material is used to create morphologies that are more detailed and complex than those originally encoded in the pattern. Several thousand distinct cases are considered, ranging from interpolation in pure diblock copolymers to interpolation in multicomponent multiblock materials. In cases where experimental data are available, there is good agreement between theory and experiment. A number of intriguing new morphologies are predicted, and several possible applications for such morphologies are discussed in the context of sub-lithographic patterning.
5:00 PM - LL8.7
Design Rules for Ordered SAMs on Patchy Nanoparticles.
Aaron Santos 1 , Chetana Singh 1 , Sharon Glotzer 1
1 , University of Michigan, Ann Arbor, Michigan, United States
Show AbstractRecently, the self-assembly of ordered stripe-like domains of ligands on the surface of spherical nanoparticles was reported [1-3]. Molecular ligands tethered to nanoparticle surfaces play an important role in the self-assembly of many systems. These tethers contribute significantly to the free energy of the system because of their large conformational entropy. In general, the stable state can be determined by minimizing the free energy of the system. We have developed a coarse-grained model to rapidly simulate the phase separation of ligands on a surface [4]. The model uses mean field and two-body approximations to compute the conformational entropy of tethers. Using these approximations, one can quickly compute the phase diagrams using a simple Metropolis Monte Carlo simulation. In contrast to traditional coarse-grained simulation methods, which can take hundreds to thousands of hours of CPU time [3], our coarse-grained model can generally find free energy minimum states in under a few hours. We use this model to study the self-assembly of monolayers on nanoparticle surfaces into a variety of patterns and predict design rules for assembling patchy particles. This work has profound implications for the design and synthesis of ordered patchy particles.[1] A. M. Jackson, J. W. Myerson, F. and Stellacci, Nature Materials, 3, 330 (2004).[2] R.P. Carney, G.A. DeVries, C. Dubois, H. Kim, J.Y. Kim, C. Singh, P.K. Ghorai, J.B. Tracy, R.L. Stiles, R.W. Murray, S.C. Glotzer, and F. Stellacci, J. Am. Chem. Soc. 130, 798 (2008).[3] C. Singh, P.K. Ghorai, M.A. Horsch, A.M. Jackson, R.G. Larson, F. Stellacci, and S.C. Glotzer, Phys. Rev. Lett. 99, 226106 (2007).[4] A. Santos, C. Singh, and S. C. Glotzer, Under review at Phys. Rev. E, (2009).
5:15 PM - LL8.8
Surface Tension Based Self-assembly of 3D Arrays of Lithographically Patterned Polyhedra: Simulations and Experiments.
Jatinder Randhawa 1 , Levi Kanu 1 , Gursimranbir Singh 2 , David Gracias 1
1 Chemical and biomolecular Engineering , Johns Hopkins Univeristy, Baltimore, Maryland, United States, 2 , McGill University , Montreal, Quebec, Canada
Show AbstractOne of the most pervasive self-assembly processes is crystallization, which has been applied to a range of colloidal systems. Here, we describe the self-assembly of polyhedral building blocks such as cubes and pyramids. These micropolyhedra were first constructed using self-folding methods and then assembled using a hydrophobic polymer. However, as compared to spheres used in colloidal assembly, since a range of polyhedra with any surface designs can be lithographically patterned, one can in principle direct the assembly using polyhedral shape and surface patterns of hydrophobic vs. neutral regions. We will discuss surface energy simulations and experiments of combinations of surface patterns that result in high fidelity assembly. Since, we have also demonstrated that electronic components can be incorporated on the polyhedra using conventional photolithographic processing, this strategy can be utilized to construct 3D periodic arrays of electronic, optical and mechanical systems.
5:30 PM - LL8.9
Soft Confinement in a Hierarchical Volume Grating.
Michael Birnkrant 1 , Lalgudi Natarajan 2 , Vincent Tondiglia 2 , Richard Sutherland 2 , Timothy Bunning 1 , Christopher Li 3
1 Materials & Manufacturing Directorate, Air Force Research Laboratory, Dayton, Ohio, United States, 2 , Science Applications International Corporation, Dayton, Ohio, United States, 3 Material Sci. & Eng., Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractTop-down nanomanufacturing techniques are approaching their theoretical limits and processes such as e-beam lithography are extremely costly. In contrast, bottom-up methods such as self-assembly can easily reach nanometer (even sub-nanometer) feature sizes. Combining top-down and bottom-up methods into a one step process leads to novel hierarchical nanostructures with tailored properties. We demonstrate a periodic, tunable, optical hierarchical volume grating fabricated by combining holographic patterning (HP) and block copolymer (BCP) self-assembly in one step. A layer-in-layer structure of poly(ethylene oxide-b-epsilon-caprolactone) confined between crosslinked resin was patterned using a simple photopolymerization-based interference process. The period of the volume grating was 200 nm, with the BCP occupying 100 nm of the one-dimensional structure. The BCP crystallized/phase separated to produce a lamellar structure with a 21 nm periodicity. This system exhibits interesting thermo-optical behavior during heating/cooling cycles. Upon heating and cooling, melting and recrystallization of the BCP within the confined region of the volume grating leads to local changes in the refractive index and grating dimensions that impacts the reflected wavelength and intensity. Transmission electron microscopy studies during heating and cooling indicate the formation and disappearance of polymer protrusions emanating from the BCP region into the crosslinked resin. These results indicate that the crosslinked resin only softly confines the BCP.
5:45 PM - LL8.10
Strain-mediated Self-assembly of Sparse Molecular Patterns on the Au(111) Surface.
Petro Maksymovych 1 , Daniel Dougherty 3 , Dan Sorescu 2 , John Yates 4
1 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Physics Department, North Carolina State University, Raleigh, North Carolina, United States, 2 , National Energy Technology Laboratory, US DOE, Pittsburgh, Pennsylvania, United States, 4 Deparment of Chemistry, University of Virginia, Charlottesville, Virginia, United States
Show AbstractIt is well-established that straining metal surfaces can control their chemical reactivity. Anisotropically strained surfaces can thus be potentially used to create sparse ordered patterns of adsorbates with prospective applications in lithography or control of molecular film growth via the density and size of nucleation centers. A number of reconstructions of noble-metal surfaces as well as surface deformations in pseudomorphic metal alloys are natural model systems to test this hypothesis. We have studied the role of the 22×√3 “herringbone” reconstruction of Au(111) surface in the adsorption of several small molecules using scanning tunneling microscopy (STM) and density-functional theory (DFT). The herringbone reconstruction displays alternating regions of fcc- and hcp- vertical stacking separated by soliton lines. At low coverage, CO, CH3SH and CH3SSCH3 and an organometallic complex CH3S-Au-SCH3 all preferentially occupy the fcc-stacked regions. Hcp-stacked regions exhibit intermediate affinity and molecular adsorption is least preferential on the soliton lines. The binding energies for these molecules range from ~ 5 kcal/mol for CO to >50 kcal/mol for CH3S-Au-SCH3, while preferential adsorption was observed in the surface temperature range from 8 K to 200 K. The ambiguity in assigning the origin of preferential adsorption arises from a complex interplay of varying stacking, anisotropic stress distribution and similarly anisotropic density of the Au(111) surface state that can influence molecular adsorption. From quantitative analysis of the STM images of CO molecules, complemented by first principles calculations of the adsorbate-induced stress using the Nielson-Martin theorem, we conclude that the adsorption site preference is well-correlated with the Au-Au interatomic distance in the lattice, identifying its predominantly stress-induced origin. Strain-mediated effects are not limited to low-coverage adsorption of small molecules. We have observed a strikingly correlated self-assembly of CH3SH on Au(111), wherein the oblique unit-cell of the self-arranged pattern is always aligned with the vector of anisotropic compression of the gold surface lattice. The stress anisotropy therefore lifts the expected three-fold energetic degeneracy of the azimuthal orientation of the self-assembled monolayer. Based on real-time imaging of the monolayer formation, we propose a phenomenological model, where the nucleating domains of a given orientation are kinetically stabilized by the interference of the soliton lines with molecular detachment from the domain walls of the self-assembled islands. Finally, the possible role of adsorbate-induced surface stress in the archetypal self-assembly of alkanethiolate on Au(111) will be discussed. Research supported in part by the W. M. Keck Foundation and the Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, U.S. Department of Energy.
LL9: Poster Session: Directed Assembly and Self Assembly
Session Chairs
Friday AM, April 09, 2010
Salon Level (Marriott)
9:00 PM - LL9.11
Liquid State Polydimethylsiloxane (PDMS) Wrinkled Metal Layer Formation Process For Various Applications.
Sang Hoon Lee 1 , JangBae Jeon 2 , Sang Ho Lee 1 , Moon Kim 1
1 Materials Science and Engineering, University of Texas - Dallas, Richardson, Texas, United States, 2 Electrical Engineering, University of Texas - Dallas, Richardson, Texas, United States
Show AbstractHere we report an epoch-making simple fabrication for broad vast expanse of wrinkled area formation and flexible metal thin film electrode using this process. We can let gold layer is made on the polydimethylsiloxane (PDMS) which is ‘not cured state’ in the low vacuum circumstance and measured its characteristics. Using this novel and simple fabrication process, we could make a broad vast expanse of wrinkled area which is never made such huge area before. Also, comparing with high energy plasma or high voltage ion beam, jelly-like liquid state PDMS enables relatively lower energy consumption due to its surface energy to form the wrinkled surface. Wrinkled electrodes are built up using this process and its properties are improved as we predicted. Adhesion between metal electrode and substrate is increased. Also stretch ability in not uni-axial but multi-axial direction is improved. Two PDMS substrates are bonded vertically which have wrinkled gold thin film layer electrodes. And its electrical characterization and mechanical test were performed during bending and twisting the bonded flexible electrode. Depending on various thicknesses of wrinkled gold layers, curing PDMS time and ratio, vacuum control during film formation, we can get improved properties of flexible electrode than the existing flexible electrode on PDMS ever using this process.
9:00 PM - LL9.12
Self-assembly Approaches to Magnetically Responsive Photonic Structures.
Le He 1 , Yadong Yin 1
1 Chemistry, University of California, Riverside, Riverside, California, United States
Show AbstractWe report the formation of photonic crystal structures through the assembly of superparamagnetic iron oxide colloidal particles in solution phase using external magnetic fields. The colloids form chain-like structures with regular interparticle spacings of a few hundred nanometers along the direction of the external field so that the system strongly diffracts light. The balance between attractive (in this case, magnetic) and repulsive (such as electrostatic) forces dictates interparticle spacing and therefore optical properties. By changing the relative strength of these two forces, one can tune the peak diffraction wavelength over the entire visible spectrum. We have also modified the surface properties of the particles to so that the tunable photonic crystal structures can be formed in nonaqueous systems such as alkanol and nonpolar solvents. We will discuss the attractive and repulsive forces involved in these self-assembly processes. We will also demonstrate assembly of nonmagnetic colloidal particles into photonic crystal structures under external field.
9:00 PM - LL9.13
Localized Heat Induced Ferroelectric Pattern Arrays of Semi-crystalline P(VDF-TrFE) by Focused Laser for Non-volatile Memory Applications.
Insung Bae 1 , Soek Ju Kang 1 , Cheolmin Park 1
1 , Yonsei University , Seoul Korea (the Republic of)
Show AbstractInformation storage devices fabricated from ferroelectric polymers such as poly(vinylidene fluoride-trifluoroethylene) P(VDF-TrFE) have drawn a great attraction due to their potential use in non-volatile memory technology. Permanent dipole formation between hydrogen and fluorine atom can be rotated around carbon backbone upon application of electric field large enough to induce conformational change of the polymer chain, resulting in bistability. One of the major advantages of ferroelectric polymers is their solution compatibility. The polymers can be dissolved in common organic solvents, and memory unit capacitors are achieved by sandwiching highly uniform thin ferroelectric film prepared through a simple spin-casting process between two electrodes. The molecular orientation of P(VDF-TrFE) thin film with the polar b axis of the crystals is required preferentially normal to the electrode surface for maximizing the ferroelectric polarization. The important requirement for realizing polymeric memory devices is the capability of micro/nano pattern formation to ensure high-density integration per unit area. The selective etching of materials using a patterned mask is common in CMOS based memory fabrication. Ferroelectric polymer, however, are significantly damaged or alter their intrinsic properties under the harsh patterning conditions, which suggests that a new pattern technique should be applied. Laser induced pattern have been investigated in the phase transition memory(PRAM) and phase transition of polymers. We developed a new patterning process for the micro/nano patterned P(VDF-TrFE) thin films by controlling laser with 650nm in wavelength as a thermal source. The method is based on micro/nano pattern of ferroelectric polymer film on Ge8Sb2Te11(GST) substrate by laser writing. Local heat (150 degree) by laser generated on GST substrate is transferred to a P(VDF-TrFE) film, giving rise to sufficient mobility of the polymer chains. The experiments on the laser direct patterning on the film allows us to fabricate micro/nano sized line or dot patterns in which hierarchical crystal structure is controlled by the directional heat optimized with various laser beam conditions such as power gradient of the induced laser from 1 to 70mW and the induced time from 10 to 500ns. Edge-on crystalline microdomains whose length and width are approximately 300 and 50nm closely packed with needlelike shape respectively are developed by focused 2μm laser beam. After the laser processing, the patterned ferroelectric P(VDF-TrFE) samples with unique self assembled structure were observed by an FESEM and OM. Furthermore, the ferroelectrical properties of the patterned P(VDF-TrFE) macro/nano either capacitors or transistors were measured, including remanant polarization, coercive voltage, on/off bistability and retention.
9:00 PM - LL9.14
Consecutive and Selective Deposition of Pt/Al Bilayers on Perfluorodecyltrichlorosilane (PFS) Monolayer-printed TiO2 Surfaces Using Chemical Vapor Deposition.
Ara Kim 1 , Kyunghoon Jeong 1 , Chiyoung Lee 1 , Jaegab Lee 1
1 School of Advanced Materials Eng., Kookmin University, Soeoul Korea (the Republic of)
Show AbstractPt has been widely used as the electrode material in nonvolatile flash memory devices, and high dielectric capacitors because of its chemical stability in both oxidative and reductive environments, and its excellent electrical properties at high temperatures. In addition, the large work function (5.5eV) of Pt provides Schottky contact at the Pt/metal oxides interface, thus resulting in the reduction of leakage current of the capacitors and the stable operation of resistive switching memory devices as well. But dry etching of Pt was difficult to achieve.We have developed a novel fabrication process for the patterning of Pt using self-assembled monolayers (SAMs) technology coupled with and selective deposition method. Al and Pt films were consecutively, selectively deposited on TiO2 surface, which was micro-contact printed with PFS monolayer. UV exposure to TiO2 surface improved the SAMs patternability and enhanced the selective deposition of CVD Al in the presence of SAMs monolayer. In addition, CVD Al effectively served as an adhesion and nucleation layers for CVD Pt.We have fabricated resistive random access memory (ReRAM) devices based on the pattering of Pt, which resulted in the Pt/Al/TiO2/Pt/Si-substrate. The fabricated PeRAM devices revealed the reliable electrical performances.
9:00 PM - LL9.15
Springy Hydrogel Actuator Assembled by Submicron Nanocomposite Fibers.
Min Kyoon Shin 1 , Seon Jeong Kim 1
1 Center for Bio-Artificial Muscle and Department of Biomedical Engineering, hanyang university, Seoul Korea (the Republic of)
Show AbstractHydrogels of high surface area to volume ratio are a very promising candidate for a fast artificial muscle system because of their short ion diffusion time in solution. However, the high porosity and submicron scale dimension of the high surface area hydrogels can induce critical problems such as giant creep phenomenon and weak mechanical durability during repeated expansion and contraction by chemical and electrochemical stimuli, in particular, during actuation under external loads. In this work, we have made a tough hydrogel actuator using ferritin biomolecules with a diameter of about 12 nm and poly(vinyl alcohol) (PVA). The hydrogel actuator is assembled by numerous submicron nanocomposite fibers on the basis of an electrospinning technique. The nanocomposite fibers are made from a mixture of ferritin/water and PVA/water solutions, and the uniform dispersion of ferritin nanoparticles into a PVA polymer fiber is confirmed by transmission electron microscopy. The ferritin/PVA hydrogel actuator shows repeated expansion and contraction without severe creep behavior during chemical actuation under an external stress of about 0.5 MPa. Another outstanding result is that the hydrogel actuator shows almost the same actuation strain as a PVA hydrogel actuator although the ferritin incorporated into a PVA hydrogel dramatically enhances toughness and elastic modulus of the composite hydrogel. We suggest that the tough ferritin/PVA hydrogel can play a role as fast and springy hydrogel actuators for artificial muscles.
9:00 PM - LL9.16
Tracking Alignment of Plasmonic Nanostructures Using Internal Reflection Microscopy.
Tyler Ray 1 , Sarah Baxter 1 , Thomas Crawford 2
1 Mechanical Engineering, University of South Carolina, Columbia, South Carolina, United States, 2 Physics and Astronomy, University of South Carolina, Columbia, South Carolina, United States
Show AbstractPolymer nanocomposites offer extraordinary promise for the field of composite materials through the capitalization of unique properties found at the nanoscale. Significant advancements have been made in the design of these composite materials, both in understanding the underlying physics as well as in developing fabrication techniques that ensure good dispersion. While good dispersion is critical, the next step is to move toward ‘micro’structures that take specific advantage of the nanoscale physical interactions. With respect to metallic nanoparticle polymer composites, current research is exploring the use of electric fields to spatially position nanoparticles in order to take advantage of optical properties resulting from plasmonic oscillations. The ability to create long range patterns in the nanophase will significantly enhance these applications. One challenge in this area is the ability to characterize, or ‘see’ the degree of positional organization obtained. Previous studies have demonstrated the use of alternating AC electric fields to align and transport micron length gold nanowires using an optical microscope to track alignment and position. Other studies have characterized the alignment of nanometer length gold nanorods by observing changes in the absorbance spectrum. In total internal reflection microscopy an evanescent wave is generated when incident light is totally reflected at, traditionally, a glass-water interface. This electromagnetic field decays rapidly from the interface, penetrating to a distance of ~100nm into the sample. The result is selective illumination, with high resolution, of sample features. Extensions of the method have taken advantage of the plasmonic behavior of metallic nanostructures to further enhance the effect.In this work, we propose a novel use of total internal reflection microscopy as a means to monitor, in real-time, the alignment of gold nanorods using electric fields. Using p-polarized 785 nm wavelength light, the frequency at which gold nanorods scatter light from their long axis, the evanescent wave generated by the reflection technique will be additionally influenced by the alignment of the nanorods. Observation of the scattered light images from above the interface will enable optical tracking of rod position and alignment. Further, as the light interacts with the plasmon resonance of the gold nanorods along the interface, it should also alter the reflected beam intensity depending on the alignment of the rods.
9:00 PM - LL9.17
Conjugated Molecules and SAMs for Conductance Studies.
Hennie Valkenier 1 2 , Everardus Huisman 2 , Petra Buiter 1 , Jelmer L. H. Otten 1 , Auke Kronemeijer 2 , Tom C. T. Geuns 3 , Paul van Hal 3 , Dago de Leeuw 2 3 , Jan Hummelen 1 2
1 Molecular Electronics, Stratingh Institute for Chemistry, University of Groningen, Groningen Netherlands, 2 Molecular Electronics, Zernike Institute for Advanced Materials, University of Groningen, Groningen Netherlands, 3 , Philips Research Laboratories, Eindhoven Netherlands
Show AbstractWe synthesized several series of oligo(phenylene ethynylene)monothiols (monoS-OPEs), dithiols (diS-OPEs)and other oligo(arylene ethynylene)dithols (diS-OAEs), studied the formation of self-assembled monolayers (SAMs) on gold, and measured the electrical properties of the SAMs.Densely packed SAMs are required for this electrical characterization to prevent shorts. To obtain densely-packed SAMs, slow formation of the SAM is required. For some types of conductance measurements where the packing density is of less importance, the SAMs can be grown more quickly. The rate of the formation of these monolayers can be tuned by the base that is used for the in situ deprotection of the acetylated thiol precursors. We compared different organic bases in THF and chloroform. The deprotection was monitored in situ by UV-Vis and 1 H NMR spectroscopy. These experiments provided a new insight into the mechanism of the deprotection of thiols. The formation of SAMs under these different conditions was followed by ellipsometry. The electrical properties of the resulting densely-packed SAMs were measured in Large Area Molecular Junctions (1), using a top contact of poly(ethylenedioxythiophene):poly(styrenesulfonate (PEDOT:PSS) and thermally evaporated Au. The measured resistance increased with the thickness of the SAMs in agreement with the theory for tunneling junctions. We are pursuing conductance studies of the SAMs by other, non-tunneling methods of electrical characterization that take advantage of the conjugated character of the molecules.(1) Van Hal, P. A.; Smits, E. C. P.; Geuns, T. C. T.; Akkerman, H. B.; De Brito, B. C.; Perissinotto, S.; Lanzani, G.; Kronemeijer, A. J.; Geskin, V.; Cornil, J.; Blom, P. W. M.; De Boer, B.; De Leeuw, D. M. Nature Nanotechnology 2008, 3, 749-754.
9:00 PM - LL9.18
Electrochemically Directed Self-assembled Monolayers on Gold Electrodes.
Joseph Labukas 1 , Thomas Drake 1 , Gregory Ferguson 1
1 Chemistry and Materials Science & Engineering, Lehigh University, Bethlehem, Pennsylvania, United States
Show AbstractElectrochemically directed self-assembly provides a selective means to produce monolayers (SAMs) from ω-functionalized alkyl thiosulfates (X(CH2)nS2O3Na; X = terminal functional group; n = length of alkyl chain) on particular gold electrodes or microelectrodes. Using a three-electrode configuration in nonaqueous solution, a SAM can be formed on a gold working electrode at a sufficiently oxidative potential, and no monolayer forms on an electrically isolated gold electrode in the same solution. We will report recent results of studies using precursors having a variety of terminal functional groups, aimed at determining the range of functionality compatible with this method. The monolayers produced were characterized by contact-angle goniometry, optical ellipsometry, and x-ray photoelectron spectroscopy.
9:00 PM - LL9.19
Binary Pt/Au, CoPt3/Au Nanostructures: Synthesis, Self-assembling and Properties.
Galyna Krylova 1 , Paul Podsiadlo 1 , Elena Shevchenko 1
1 Center for Nanoscale Materials, Argonne National laboratory, Lemont, Illinois, United States
Show AbstractCreation of multicomponent nanosized architectures is nowadays one of the most attractive approaches towards design of a wide range of nanomaterials with novel physical and chemical properties arising from the constituent interactions at nanoscale. Such materials already demonstrated enhanced optical, magnetic and catalytic properties compared to their individual single-component analogous [1,2]. We investigated the mechanism of nucleation and growth of binary dumbbell-like and core-shell structures. We tried to combine magnetic and/or catalytic functionality with optical activity in one nanoparticle by modifying platinum magnetic alloys (CoPt3, FePt) and platinum itself with gold. Application of such nanoparticles for fabrication of electrocatalysts for low-temperature fuel cells could eliminate the major problem of air/oxygen electrodes – dissolution of Pt under potential cycling regimes [3]. Moreover, catalyst efficiency could be increased by substitution of Pt with its transition metal alloy. We suggest that this increase could be multiplied by formation of highly ordered particles assemblies on carbon supports conventionally used for such types of electrodes. In this work the effect of the synthetic conditions on structure type, size, shape and uniformity of binary Pt(or MxPty)/Au nanoparticles was studied. Uniformity of nanoparticles is one of the precondition for successful self-assembling, thus we worked out the procedures for producing of high quality, monodisperse dumbbell-like heterodimers. Their 2D and 3D assemblies were obtained. Magneto-optical properties of such structures and their electrocatalytic activity are currently under investigation.
9:00 PM - LL9.2
A Combinational Effect of the Activated Alloy Phase Separation and Strain Relaxation in the Improved Thermal Stability of Coupled Multilayer S-K Grown InAs/GaAs Quantum Dots.
Sourav Adhikary 1 , Kaustab Ghosh 2 , Subhananda Chakrabarti 3
1 Electrical Engineering, Indian Institute of Technology Bombay, Mumbai India, 2 Electrical Engineering, Indian Institute of Technology Bombay, Mumbai, Maharashtra, India, 3 Electrical Engineering, Indian Institute of Technology Bombay, Mumbai, Maharashtra, India
Show AbstractMultilayer self assembled InAs/GaAs quantum dots (QDs) have attracted increasing interest owing to their greater active volume than the single layer system, thereby enhancing the gain and sensitivity of optoelectronic devices. Since high temperature annealing is required for the growth of high quality cladding and barrier layers in long wavelength lasers and detectors, we investigated post growth annealing effects of the multilayer QD structure so as to ascertain its thermal stability suitable for such application. A ten (10) layer 2.7 ML InAs/GaAs QD heterostructure were grown with a combination capping of 30Å quaternary InAlGaAs and 180Å GaAs layer by MBE. Low temperature (8K) Photoluminescence (PL) emission of this heterostructure showed ground state (GS) PL peak at longer wavelength of 1267 nm due to the combined effect of activated alloy phase separation of the quaternary InAlGaAs layer facilitating the redistribution of indium atoms in the QDs and strain relaxation offered by the thick GaAs layer. No shift in the GS PL peak is observed even for annealing upto 700°C which was not previously reported and is contrary to other reports of pronounced blue shifts at low annealing temperature. This is due to the preservation of the strain relaxed state by the GaAs layer even after annealing and compensation of the indium desorption from the QDs by the redistributed indium from the InAlGaAs layer. Increasing annealing temperature further to 750°C causes indium desorption from the QDs to surpass the redistributed indium from the InAlGaAs. This led to the disintegration of larger dots to numerous smaller dots, blue shifting the PL emission to 1136 nm. The absence of QD phonon frequency from Raman spectroscopy also lends support to this result. Further annealing at higher temperature causes dissolution of QDs in the wetting layer with large amount of diffused gallium and formation of non-radiative recombination centers. From the temperature dependent PL measurements, the activation energy of as-grown and different temperature annealed QDs are calculated. The activation energy increased from 230 meV to 245 meV from as-grown to 650°C annealing QDs after which there is a steady decrease. Thus, this also shows that for annealing up to 650°C, the activated alloy phase separation effect and strain relaxation plays the dominating role in increasing the QD size causing high localization of carriers required for high efficiency devices. The steady decrease of activation energy with further increase of annealing temperature indicated weaker carrier confinement due to shallowing of barrier potential with diffused gallium atoms and probable defect formation. In conclusion, higher thermal stability of the grown multilayer QD heterostructure is exhibited with suppression of PL emission blue-shift and stronger carrier localization even at higher annealing temperatures. We acknowledge DST and DIT, India for providing financial support.
9:00 PM - LL9.21
Mechanical Probing of Ice-like Water Monolayers.
Kenneth Liechti 1 , Dewei Xu 1 , K. Ravi-Chandar 1
1 Aerospace Engineering & Engineering Mechanics, UT Austin, Austin, Texas, United States
Show AbstractUltrathin films of water formed on hydrophilic solid surfaces play a key role in a wide range of problems in atmospheric and environmental chemistry, biology, colloid science and geological phenomena. In recent years, measurements that reveal the presence of such thin films, which may possess an ice-like structure, and the elucidation of the physics behind their formation have generated much interest within the community. It has been reported that ice-like water monolayers formed on the surface of muscovite mica are stable at room temperature in humid environments. Measurements with scanning polarization force microscopy (SPFM), reported by Hu et al. show that ice-like water films start to form at about 20% RH and a full monolayer is formed in angular epitaxial relationship with the mica substrate at 40%~50% RH. This observation is also supported by the experimental measurement using sum-frequency-generation (SFG) vibrational spectroscopy and measurements of the variation of surface potential due to polar-oriented ice-like water films. Molecular dynamics simulations have also found that a two-dimensional ice-like water bilayer is stable up to 300K on mica. Such ice-like water monolayers on mica have been imaged in ambient environments under tapping mode via atomic force microscopy (AFM). In a recent study, water resulting from capillary condensation under confinement in relative humidity levels as low as 5% RH was believed to form an ice-like structure. High-resolution lateral or friction force microscopy revealed an average stick-slip period of ~0.38 nm and discrete plateaus of friction force as the normal force increase. Similar friction behavior was found in experiments using a surface force apparatus (SFA) and the mesoscale friction tester (MFT). The existence of ordered water layers between mica surfaces separated by less than five to ten molecular diameters in bulk liquid or electrolyte has been well established by normal force profiles in the repulsive regime obtained with SFA. However, studies on ice-like water monolayers in humid environments via normal force profiles obtained from direct probing have not been reported. Direct measurements of the normal force interactions between a mica-tungsten contact pair at various humidity levels reveal the presence of repulsive forces at about 0.5 nm before intimate contact. Such repulsive interactions begin to appear above 20% RH and are fully developed in the range of 38-45% RH. Using the DMT model of contact, a reduced elastic modulus of approximately 6.7 GPa is extracted from these repulsive interactions and attributed to the presence of ice-like water on mica at room temperature. The collapse of such structures was also inferred from the measurements.
9:00 PM - LL9.22
Self-assembled Thin Films of Nanoporus Materials for the Inertial Confinement Fusion Application.
Juergen Biener 1 , J. Satcher, Jr. 1 , M. Worsley 1 , T. van Buuren 1 , J. Lee 1 , T. Willey 1 , S. Kucheyev 1 , T. Baumann 1 , M. Biener 1 , K. Wu 1 , A. Hamza 1
1 Nanoscale Synthesis and Characterization Laboratory, Lawrence Livermore National Laboratory, Livermore, California, United States
Show AbstractTo take full advantage of the unique laboratory environment created by inertial confinement fusion experiments, it will be mandatory to develop complex target structures that consist of a thin layer of an ultra-low density nanoporous material inside a spherical capsule. Traditionally, such structures have been fabricated by assembling prefabricated and machined components in a “Russian doll” fashion. However, this approach becomes increasingly difficult as the density and/or film thickness of the nanoporous capsule liner decreases. Here, we report on our effort to fabricate such target structures by using a self-assembly approach to grow uniform and conformal aerogel films inside the spherical diamond capsule. This requires the development of new low atomic number, non-shrinking, low-density aerogels (<20 mg/cc) which are mechanically strong enough to survive wetting with cryogenic hydrogen. Specifically, we focus on the development of polymer and carbon nanotube reinforced aerogels.This work performed under the auspices of the U. S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.
9:00 PM - LL9.26
In situ Biomineralization Using a Bi-functional Solid Binding Peptides: Kinetics of Hydroxyapatite Formation via Surface Plasmon Resonance Spectroscopy.
Brandon Wilson 1 , James Jun Park 1 , Candan Tamerler 1 , Mehmet Sarikaya 1
1 Materials Science and Engineering, University of Washington, Seattle, Washington, United States
Show AbstractThere is an ever increasing interest in the understanding of the mechanism of hydroxyapatite (HA) formation in both biological and synthetic environments due, in particular, to the potential application in orthopedic implants and regeneration of hard dental and bone tissues. Practical methods are sought for synthesizing HA with controlled structures using a variety of synthetic and biologically-inspired approaches. Based on previous research in the use of genetically engineered peptides for inorganics (GEPI), e.g., in HA formation, here we use bifunctional GEPI to mineralize a HA film on an inorganic substrate. The experiments were carried out by combining a GEPI and HA-binding peptide (HABP) sequences into a bi-functional molecular construct. The biomineralization process was monitored by surface plasmon resonance (SPR) spectroscopy and/or quartz crystal microbalance (QCM) which can detect HA film formation on the surface. Biomineralization was controlled in the presence of catalyzing HABP at various concentrations and solution conditions. Both scanning electron microscopy (SEM) and atomic force microscopy (AFM) techniques were used to examine the film morphology and to determine the film adhesion to the gold surface. The results will be discussed in the context of the enzymatic and morphogenesis effects of the peptide compared to those of the controls experiments. The process developed here may be used in wide ranging applications in biotechnology from tissue engineering to creating biocompatible surfaces. Research is supported by NSF-BioMat, and MRSEC Programs through the University of Washington GEMSEC (DMR 0520567).
9:00 PM - LL9.3
Optically Selective Microlens Photomask Using Self-assembled Smectic Liquid Crystal Defects Array.
Yun Ho Kim 1 , Hyeon Su Jeong 1 , Jung Hyun Kim 1 , Dong Ki Yoon 1 2 , Hee-Tae Jung 1
1 Chemical and Biomolecular Engineering, KAIST, Daejeon Korea (the Republic of), 2 Physics and Liquid Crystal Materials Research Center, University of Colorado , Boulder, Colorado, United States
Show AbstractMicrolens photolithographic fabrication using self-assembled materials have attracted considerable attention in recent years, because these techniques are very simple and low-cost, and provide a route for the fabrication of large-area patterns. We developed new types of microstructure for fabricating optically selective microlens array, which use periodic toric focal conic domain (TFCD) of smectic liquid crystal (LC) as a photomask that combines two imaging elements (microlens arrays and clear windows). The shape and focusing mechanism of TFCD microlens photomask is very different from conventional microlens photomasks that use spherical (or hemi-spherical) shaped structure with homogeneous refractive index, and have several remarkable features. First, the periodic toroid-shaped holes of the TFCD structure act as a microlens due to intrinsic molecular orientation of TFCD which can focus illuminated light and the other flat regions between toroidal holes do as a clear window without any scattering. Second, this system can use the advantage of the concept of the graded refractive index of liquid crystals as well as periodic microscale arrays, since the ordered TFCD structure is generated by controlling molecular orientation of liquid crystal molecules on selective substrates. The light through TFCD microlens only propagates with respect to the director field of liquid crystal molecules, thus it is optically selective for the polarization direction of illuminating light once using a photomask. Accordingly we can obtain a variety of different microscale patterns with controlled domain sizes, geometries and symmetries, by simply adjusting the illumination dose, the size of TFCD photomask, the tone of photoresist and the polarized direction of illuminating light source.
9:00 PM - LL9.6
STM Study on Binary Molecular Assemblies on Au(111).
Yutaka Wakayama 1
1 , National Institute for Materials Science, Tsukuba Japan
Show AbstractOur main purpose is to study molecular alignment and orientation as well as growth mechanism of hetero molecular assemblies on metal surface. For this purpose, fluorinated Cu-phthalocyanine (F16CuPc) and pentacene were co-deposited onto Au(111) surface and molecular alignment was examined by scanning tunneling microscopy (STM). As a result, the combination of these molecules was found to form various assemblies depending on the molecular ratio.The experiments were carried out in an ultra-high vacuum STM, in which an ion-gun and dual molecular sources were equipped. All processes, including surface cleaning of metal substrate, molecular deposition at different ratio and STM observation were done in the ultra-high vacuum with a background pressure of 1x10-8 Pa.Pentacene is well known to work as a p-type organic semiconductor. Meanwhile, the strong electron affinity of the fluorine atoms surrounding phthalocyanine main body makes F16CuPc as an n-type semiconductor. In other words, the combination of these molecules can be regarded as a donor (pentacene)-acceptor (F16CuPc) pair.STM observation revealed that the well-defined assemblies of the molecules were formed only at certain ratios those were 1 (pentacene):1(F16CuPc), 2:1 and 3:1, and therefore we call them “molecular alloy”. In addition to the alloying, we observed “phase separation” and “segregation” at F16CuPc-rich phase and “solid solution” at pentacene-rich phase. These phenomena are comparable to those in inorganic materials. We will discuss the driving force to mix these molecules, which is mainly hydrogen bonding between F atoms of the F16CuPc and H atoms of neighboring pentacene, and other importance factors: lattice matching, angles and distances of these molecules.
9:00 PM - LL9.9
Solid State NMR Structural Analysis of Designer Self-assembling Proteins.
Ashley Cormier 1 , Anant Paravastu 1
1 Chemical and Biomedical Engineering, Florida State University, Tallahassee, Florida, United States
Show AbstractDesigner self-assembling proteins such as RADA-16 [1] and MAX8 [2] are being used as scaffolds for supporting tissue regeneration. Their most interesting properties include the ability to self-assemble or dynamically reassemble in response to environmental stimuli. In order to characterize the molecular level details of self-assembly mechanisms, we have performed solid state nuclear magnetic resonance (ssNMR) spectroscopy of both naturally abundant and isotopically labeled (13C and 15N) scaffold preparations. We will present results of one-dimensional natural abundance 1H-13C cross polarization magic angle spinning (CPMAS) NMR experiments, as well as nuclear dipolar modulation experiments on selectively 13C and 15N labeled samples. NMR chemical shifts and linewidths indicate mostly beta-strand secondary structure, as expected based on the literature, and a remarkably high degree of local structural order. Internuclear correlations probed using NMR spectroscopy on isotopically labeled samples will be discussed in terms of possible schemes of intermolecular packing, and may explain observations of scaffold 3-dimensional morphology by transmission electron microscopy (TEM) and scanning electron microscopy (SEM). [1] Lisa Haines-Butterick, Karthikan Rajagopal, Monica Branco, Daphne Salick, Ronak Rughani, Matthew Pilarz, Matthew S. Lamm, Darrin J. Pochan, and Joel P. Schneider. "Controlling hydrogelation kinetics by peptide design for three-dimensional encapsulation and injectable delivery of cells." PNAS. 104.19 (2007): 7791–7796.[2] H. Yokoi, T. Kinoshita, and S. Zhang, “Dynamic reassembly of peptide RADA16 nanofiber scaffold.” Proc. Natl. Acad. Sci. U.S.A., 102, 8414 (2005).
Symposium Organizers
Qinghuang Lin IBM T. J. Watson Research Center
James J. Watkins University of Massachusetts
Amit Goyal Oak Ridge National Laboratory
Jeffrey J. Urban Lawrence Berkeley National Laboratory
LL10: Novel Assembly Methods, Structures and Properties II
Session Chairs
Friday AM, April 09, 2010
Room 3016 (Moscone West)
9:15 AM - **LL10.1
Programmed Assembly of Epitaxial Semiconductor Nanostructure Arrays.
Robert Hull 1 , Jerrold Floro 3 , Jennifer Gray 3 , Frances Ross 2 , Maria Gherasimova 5 , Jeremy Graham 4 , Alain Portavoce 6
1 , Rensselaer Polytechnic Institute, Troy, New York, United States, 3 , University Of Virginia, Charlottesville, Virginia, United States, 2 , IBM Thomas J. Watson Research Center, Yorktown Heights, New York, United States, 5 , University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 4 , Southern Connecticut State University, New Haven, Connecticut, United States, 6 , CNRS-IM2NP, Marseilles France
Show AbstractWe will describe the assembly of epitaxial quantum dots (QDs) and QD complexes into controlled arrays of any desired symmetry using a Focused Ion Beam (FIB) in the Ge(Si)/Si(100) system. Using local ion implantation we can “program” a Si substrate such that subsequent nucleation of Ge(Si) nanostructures is templated to the array of implant sites. We have found that we can template either a single QD “basis” or more complex nanostructures to each template point. For the case of single Ge QD templating, the implantation process also reduces the wetting layer thickness, thus suppressing QD nucleation away from the templating sites. This allows positional control of each template QD element at the 10 nm level, and synthesis of arrays of virtually any geometry. An example of templating of more complex nanostructure “bases” to each template point, is controlled nucleation of “quantum dot molecule” (QDM) structures. The QDM comprises four quantum dots surrounding a central surface pit that form under conditions of limited adatom mobility in the GexSi1-x/Si(100) system. The adjacent quantum dots within the QDM can be brought into extremely close (< 10 nm) proximity to each other. This enables assembly of structures that are hierarchical ordered over multiple lengths scales, ranging from length scales that are inaccessible to conventional lithography (i.e. the characteristic dimensions of the individual QD spacings and sizes, nm to tens of nm), to the intermediate dimensions of the QDM structure itself (c. 100-200 nm), to the much greater length scales that are accessible through the external lithography that templates each QDM element. This ability to order nanostructures over multiple length scales has potential applications to nanoelectronic architectures based on charge tunneling and/or spin interactions. We are currently developing methods for nanoscale control of the local electronic and magnetic properties of these quantum dot arrays. This is achieved by electrically non invasive templating and nanoscale electronic and magnetic doping of these structures using a mass-selecting FIB, where ions of different species are mass-separated from an liquid metal alloy source (as opposed to the elemental Ga+ source used conventionally in FIB systems). To date we have generated nanoscale Si, Ge, Mn, As and B beams from AuSi, MnGe and PdAsB sources respectively. We will discuss the application of these methods to the assembly of potential quantum dot device structures based on transfer of charge and spin.
9:45 AM - **LL10.2
Guided Anodization for Long-range, Periodically Ordered Oxide Nanotubes.
Kunbae Noh 1 , Sungho Jin 1
1 , UC San Diego, La Jolla, California, United States
Show Abstract Anodic aluminum oxide (AAO) has become one of the most frequently utilized, self-assembled periodic templates to create an array of nanowires and nanoislands. While the AAO nanostructures are locally periodic within a typical size regime of micrometers, one of the main issues to be resolved is the lack of long-range periodicity in the self-assembled AAO structures, which is more desirable for electronic and magnetic applications. Typical AAO structures are also made of pore arrays, with few reports on functionally more useful configurations such as vertical nanotube arrays of aluminum oxide. We have successfully fabricated periodically ordered and vertically aligned Al2O3 nanotube arrays over a large area (in excess of 0.5x0.5 cm2) by utilizing guided anodization on Al thin films. Nano-indentation of an Al precursor film with a nanostamp having 100 million sharp tip arrays in hexagonally periodic arrangement, produced seed impressions which guided the subsequent anodization process to produce a long-range, periodically ordered, vertical nanopore arrays. Upon continued anodization, a self-assembled extra pore was formed in the center of each hexagon to produce a triangular array of vertical nanopores. Even further anodization resulted in a conversion of nanopore geometry into a nanotube configuration, thus producing long-range periodic Al2O3 nanotube arrays. An alternative patterning process of using a spin-coated PMMA resist layer as a medium for nanoindentation also led to the formation of a similarly long-range periodic structure. An interesting phenomenon of pattern-doubling was observed upon triangular array indentation followed by anodization and etching.
10:15 AM - LL10.3
Epitaxial Growth of Nanostructured, Complex Oxide Films With Strain-modulated 3D Self-assembly via Pulsed Laser Deposition.
Sung Hun Wee 1 2 , Yanfei Gao 2 , Amit Goyal 1
1 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Department of Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee, United States
Show AbstractEpitaxial, nanostructured films comprising three-dimensionally (3D) ordered arrays of nanodots of one type of complex ceramic material embedded in another complex ceramic material are expected to exhibit novel physical properties, tunable by adjusting the overall composition, concentration, feature size and spatial ordering of the nanodots. Applications of such nanocomposite films in the areas of multiferroics, photovoltaics, solid state lighting, ultra-high density storage and high temperature (high-Tc) superconductivity are of interest. A joint experimental, theoretical and computational study has been performed to understand and manipulate 3D self-assembly of insulating BaMO3 (M = Nb, Sn, Zr, and Ce) nanodots within high-Tc superconducting YBa2Cu3O7-δ (YBCO) films. It was demonstrated that vertical or horizontal ordered arrays (or simultaneous ordering in both directions) of BMO nanodots within superconducting films are possible to occur via strain modulation between nanodots. In addition, it was found that misfit strain caused by lattice mismatch between YBCO matrix and BMO nanophase is a critical factor to control 3D self-assembly of BMO nanocolumns inside YBCO films. Novel 3D self-assembly obtained from other epitaxial nanostructured films such as LaMnO3+MgO will also be presented.This research was sponsored partially by the LDRD Program of ORNL managed by UT-Battelle, LLC for the U. S. Department of Energy and partially by the U.S. DOE Office of Electricity Delivery and Energy Reliability - Advanced Cables and Conductors under contract DE-AC05-00OR22725 with UT-Battelle, LLC managing contractor for Oak Ridge National Laboratory.
10:30 AM - LL10.4
FIB-synthesized Surface Nanostructure Arrays for Negative Index Metamaterials.
Myungkoo Kang 1 , Jia-Hung Wu 1 , Rachel Goldman 1
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show Abstract It has been predicted that ordered arrays of plasmonic nanospheres in a matrix will lead to simultaneously negative permittivity and permeability, paving the way to low loss negative-index metamaterials [1]. On III-V compound semiconductor surfaces, nanometer-sized metallic droplets often form during epitaxial growth, thermal annealing, and/or ion irradiation. In the case of focused-ion beam (FIB) irradiation of III-V compound semiconductor surfaces, the group V elements are preferentially sputtered, forming a group III-rich FIB-milled region. With continued irradiation beyond a threshold ion dose, group III-rich droplets are observed [2]. Here, we report a universal trend for this droplet formation on III-V compound semiconductor surfaces [3]. In particular, the threshold ion dose for droplet formation increases with surface binding energy of the III-V compound semiconductor. On surfaces with high binding energies, including GaN, GaP, GaAs, InP, and InAs, droplet sizes increase and densities decrease with dose beyond the threshold ion dose. Eventually, the droplets transform to ripples and in some cases, vertical nanorods. On the other hand, for surfaces with low binding energies, including GaSb and InSb, continued FIB irradiation beyond the threshold ion dose induces the transformation of droplets to lateral nanorods to ripples to droplets atop ripples, and finally, vertical nanorods. We will present these results along with transmission/reflection measurements and corresponding effective refractive indices of large area (~0.1mm2) highly ordered two-dimensional arrays of Ga droplets on GaN. We will also discuss progress towards the design and fabrication of three-dimensional Ga droplet arrays in GaN using FIB-assisted molecular beam epitaxy.This work was supported by the AFOSR under contract FA9550-06-1-0279 through the MURI program, monitored by Dr. Harold Weinstock.[1] A. Alu, A. Salandrino, and N. Engheta, “Negative effective permeability and left-handed materials at optical frequencies” Opt. Exp. 14, 1557 (2006).[2] J. H. Wu, W. Ye, B. L. Cardozo, D. Saltzman, K. Sun, H. Sun, J. F. Mansfield, and R.S. Goldman, "Formation and Coarsening of Ga Droplets on Focused-ion-beam Irradiated GaAs Surfaces", Appl. Phys. Lett. 95, 153107 (2009).[3] J.H. Wu, M. Kang, W. Ye, K. Sun, and R.S. Goldman, to be submitted (2009).
10:45 AM - LL10.5
Size Uniformity Control of Bit-patterned Media for Improved Switching Field Distributions.
Joan Bosworth 1 , Ricardo Ruiz 1 , Dobisz Elizabeth 1 , Olav Hellwig 1
1 , Hitachi Global Storage Technologies, San Jose, California, United States
Show AbstractE-beam directed block copolymer self assembly stands as one of the most viable routes for forming next-generation templates for bit patterned media magnetic recording, not only because of its ability to reach sub-lithographic resolution, but also because of its high feature size uniformity. In fabricating templates for bit patterned media (BPM), sub-lithographic resolution is necessary to achieve densities in excess of 1Tb/in2 while size uniformity is critical to maintain the tight magnetic switching field distribution (SFD) needed for precise write synchronization with low bit error rate.We report the fabrication of magnetic BPM using block copolymer templates and the impact of bit size uniformity on the magnetic SFD. We use arrays of block copolymers forming hexagonally packed, perpendicularly oriented cylinders in thin films as templates for BPM. Block copolymer thin films with higher ordering, that is, films with larger grains hexagonal patterns, yield bit-patterned media with tighter size distribution; thus, polymer grain size provides a means for studying the affect of the magnetic bit size distribution on the magnetic SFD. Block copolymer films with both large and small grain size were fabricated by two methods: creating different size grains by changing the annealing temperature of the block copolymer films and also by aligning the block copolymer to a single grain of a chemical prepattern formed by e-beam lithography on the silicon substrate. Block copolymer features were transferred into the Si substrate to form Si nano-pillars by a lift-off process. Magnetic Co/Pd multilayers were then deposited on top of the Si nano-pillars, thus forming the magnetic BPM. Using the templates with various degrees of ordering, the standard deviation of the bit diameter ranged from about 2.5% for the e-beam directed assembly templates to about 20% for the most disordered samples. The magnetic SFD was directly impacted by the geometric bit size distribution. Media formed from e-beam directed self assembly demonstrated significant improvement of the island SFD observed in polar magneto optic Kerr effect hysteresis loops, as compared to SFDs of samples created from unaligned block copolymer films or to those formed directly from e-beam patterning. Our study shows that not only do the magnetic media design and the magnetic reversal process contribute to the magnetic SFD, but that also pattern uniformity of island diameter is an important factor to ensure switching of an individual bit without influencing its neighbors. Combined with the previously demonstrated advantages of feature density multiplication and pattern rectification, the high degree of lithographic uniformity demonstrated by e-beam directed self assembly and its subsequent fidelity during pattern transfer provide a viable nanofabrication method for magnetic BPM with a narrow SFD.
11:00 AM - LL10: MSP-2
BREAK
11:30 AM - LL10.6
Complex Shines a Light on its Own Creation.
ShuHan Hsu 1 2 , Deniz Yilmaza 2 , Christian Blum 3 , Vinod Subramaniam 3 , David Reinhoudt 1 2 , Aldrik Velders 1 , Jurriaan Huskens 2
1 Laboratory of Supramolecular Chemistry and Technology, University of Twente, Enschede Netherlands, 2 Molecular Nanofabrication Group, University of Twente, Enschede Netherlands, 3 Biophysical Engineering Group, MESA+ Institute for Nanotechnology, University of Twente, Enschede Netherlands
Show AbstractSelf-assembly provides a unique paradigm to obtain complex and functional molecular architectures in a spontaneous process from small building blocks. Self-assembly at surfaces is particularly rewarding since the inherent immobilization allows characterization by single molecule techniques and potential embedding in a device structure. It has only been recently recognized that surfaces, in particular those functionalized with molecular recognition units, the so-called molecular printboards, offer additional benefits regarding control over molecular orientation, stability of binding, and suppression of nonspecific interactions. Here we show, for the first time, the spontaneous formation of such a complex that signals its own correct assembly, by expressing sensitized lanthanide luminescence. The focus is on addressing the exact stoichiometry of the complex and its signaling properties. Assembly of a mixture of guest-functionalized antenna and Eu3+ complexed ligand molecules in a patterned fashion onto a receptor surface provided efficient localized sensitized emission. Coordination of a carboxylate group of the antenna to the Eu3+ center and noncovalent anchoring of both components to the receptor surface appeared to be prerequisites for efficient energy transfer. A Job plot at the surface confirmed that coordination of the antenna to the Eu3+ center occurs in a 1:1 fashion. Surface driven assemblies were also demonstrated under the equilibrium condition with the competition agent present (free host molecules). Moreover, the use of the sensing mechanism to study dual-mode fluorescence detection of biologically relevant anions is investigated. This surface assisted luminescence amplification was used as a sensing platform for dipicolinic acid (DPA), a unique chemical marker and major constituent of anthrax bacterial spores. The results exhibited remarkable sensitivity and selectivity of system toward DPA. This surface-assisted sensor system could be readily used as a molecular switch for the dual-mode fluorescence detection of the anthrax biomarker DPA on glass.
11:45 AM - LL10.7
Size-selective Single-particle Placement Using Self-limiting Electrostatic Interactions.
Pradeep Bhadrachalam 1 , Seong Jin Koh 1
1 Materials Science and Engineering, The University of Texas at Arlington, Arlington, Texas, United States
Show AbstractWe present a new nanoparticle placement technique in which different sizes of nanoparticles are guided onto differently designated target locations on the same substrate, with nanoscale precision. Importantly, this size-selective placement is carried out on a single-particle level, meaning that a specific target location is occupied by exactly one nanoparticle of specific size. We demonstrated this size-selective single-particle placement using ~50nm Au nanoparticles (AuNPs) and ~20nm AuNPs as a model system. This has been accomplished by forming electrostatic guiding structure on a substrate and engineering the electrostatic interactions between the charged substrate and charged AuNPs. The electrostatic guiding structures were defined using CMOS compatible fabrication processes and subsequent functionalization of substrate surfaces using self-assembled monolayers (SAMs) of organic molecules. With well-designed guiding structures, single 50nm AuNPs were placed onto the designated substrate locations with a success rate of >90% and then single 20nm AuNPs onto the other target locations designated for 20nm AuNP placement. The single ~20nm AuNPs were placed with a success rate of over ~90% and with a measured precision of ~12nm. The mechanism of the self-limiting behavior in the size-selective single-particle placement was analyzed by solving the non-linear Poisson-Boltzmann equation. This revealed that the self-limiting placement was due to significant increase in the free energy barrier upon placement of the first nanoparticle on a target position, which prevents the approach of other nanoparticles. The size-selectiveness is explained by the dependence of the free energy barrier increase upon the nanoparticle size (therefore the total charge of a nanoparticle). It is expected that the same principle can be used for the controlled and size-dependent placement of other nanoscale objects such as nanowires, proteins, and DNA at the single-entity level. (Supported by NSF CAREER ECS-0449958 and NSF ECCS-0925997)
12:00 PM - LL10.8
Device-scale Vertical Orientation of Colloidal Nanorods.
Jessy Baker 1 3 , Asaph Widmer-Cooper 2 3 , Michael Toney 4 , Phillip Geissler 2 , Paul Alivisatos 2 3
1 Mechanical Engineering, UC Berkeley, Berkeley, California, United States, 3 Materials Science, Lawrence Berkeley National Labs, Berkeley, California, United States, 2 Chemistry, UC Berkeley, Berkeley, California, United States, 4 Stanford Chem & Mat Sci, Stanford Synchrotron Radiation Lightsource, Menlo Park, California, United States
Show AbstractThe self-assembly of nanocrystals enables new classes of materials whose properties are controlled by the periodicities of the assembly, as well as by the size, shape and composition of the nanocrystals. While self-assembly of spherical nanoparticles has advanced significantly in the last decade, assembly of rod-shaped nanocrystals has seen limited progress due to the requirement of orientational order. Here, the parameters critically relevant to self-assembly are systematically quantified using a combination of diffraction and theoretical modeling; these highlight the importance of kinetics on orientational order. Through drying-mediated self-assembly we achieve unprecedented control over orientational order (up to 96% vertically oriented rods on 1cm2 areas) on a wide range of substrates (ITO, PEDOT:PSS, Si3N4). This opens new avenues for nanocrystal-based devices competitive with thin film devices, as problems of granularity can be tackled through crystallographic orientational control over macroscopic areas. Preliminary device results will be discussed.
12:15 PM - LL10.9
Sonochemistry-induced Fast Formation via Self Assembly of Large Single Crystals of Mercury-thiol Directly from Liquid Mercury.
Boaz Pokroy 1 , Barbara Aichmayer 3 , Anna Schenk 3 , Sung Hoon Kang 2 , Peter Fratzl 3 , Joanna Aizenberg 2
1 Materials Engineering, Technion - Israel Institute of Technology, Haifa Israel, 3 Biomaterials, Max Planck Institute of Colloids and Interfaces, Potsdam Germany, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractThe synthetic formation of metal-mercaptide compounds has now been known for almost 200 years. These compounds are usually formed by the reaction of mercury salts (some examples are: mercury - nitrate, iodide, chloride or cyanide) with thiolates or disulfides to produce Hg(S-R)2 followed by crystallization of the latter from ethanol. In this work we will show that by sonicating a mixture of liquid metal mercury and a neat alkanethiol solution using medium-intensity ultrasound, one can synthesize large crystals of mercury mercaptide within seconds, which have the same crystallographic structure as crystals grown for several days by the conventional method. We will present high-resolution imaging as well as synchrotron WAX/SAXS and micro-beam diffraction data of the mercury-mercaptide crystals grown by our method. These results demonstrate for the first time that sonochemical approach enables extremely fast production of crystals directly from liquid mercury and that the quality, size and shape of these crystals are superior to those produced from mercury salts by conventional crystallization. We will discuss the mechanism of crystal growth via self-assembly.
12:30 PM - LL10.10
Field-effect Transistor Devices Based on Strained Si Channels Above Buried 2D Periodic SiGe Quantum Dots.
Nina Hrauda 1 , Jianjun Zhang 1 , Tanja Etzelstorfer 1 , Julian Stangl 1 , Dina Carbone 2 , Guenther Bauer 1 , Cleber Biasotto 3 , Vladimir Jovanovic 3 , Lis Nanver 3 , Juergen Moers 4 , Detlev Gruetzmacher 4
1 Institute for Semiconductor Physics, Johannes Kepler University Linz, Linz Austria, 2 , European Synchotron Radiation Facility, Grenoble France, 3 , TU Delft, Delft Netherlands, 4 , FZ Juelich, Juelich Germany
Show AbstractWe report on heteroepitaxial 2D periodic arrays of SiGe dots MBE-grown on e-beam pitpatterned Si (001) substrates and capped with Si for the realization of an n-channel DotFET device. These devices based on directed self-assembly, with typical device dimensions of L= 50nm and W=100nm exploit the tensile strain in the Si channel above the buried islands to achieve higher current driveabilities and larger transconductance as compared to conventional Si based MOS devices. On a single fully processed device with a TiN +Al(1%Si) gate stack and source, gate and drain contacts in place, the strain fields in the Si channel were determined from x-ray diffraction experiments using a submicron focused x-ray beam, at the ID01 beamline of the Grenoble synchrotron source. A maximum in-plane tensile strain of 0.7% in the pseudomorphically strained Si channel was found, i.e. substantially higher than achievable for dislocation-free tensile strained Si on planar substrates. The electrical characterization of the processed DotFET devices compared with reference devices on the same wafers, processed outside of the regular arrays of SiGe dots indeed proves their significant advantages. Using deep UV lithography with a wavelength of 13.6 nm for the patterning of the Substrates, lateral periods of the SiGe dots of about 35 nm were already achieved, thus paving the way for even smaller DotFET devices.
12:45 PM - LL10.11
Self-organized Nanowire Networks With Ultrafast Coarsening Derived from Anisotropic Strains.
Marta Gibert 1 , Patricia Abellan 1 , Alberto Garcia 1 , Felip Sandiumenge 1 , Teresa Puig 1 , Xavier Obradors 1
1 , Institut de Ciència de Materials de Barcelona, ICMAB (CSIC), Bellaterra, Catalonia/Barcelona, Spain
Show AbstractThe generation of arrays of ordered nanowires is a key objective for many of their potential applications. However, a lack of understanding and control of the nanowires’ growth mechanisms often limits their thorough development. In this work, we report a new path towards self-organized epitaxial nanowires networks produced by high throughput solution methods. Control of interfacial energy through growth conditions enables us to select the crystallographic orientation of CeO2 nanostructures on perovskite single-crystal substrates1, providing us with a powerful tool to tune the in-plane shape of the nanoislands. Detailed experiments and nanocharacterization (AFM, TEM, XRD) combined with simulations based on thermodynamic models have allowed us to identify the requisites for the formation of nanowires. Anisotropic surface energies and biaxial anisotropic strains lead to a thermodynamic driving force for an unrestricted elongated equilibrium island shape. Ultrafast effective growth rate enhances the formation of elongated nanostructures. These requirements are met in anisotropically strained Ce1-xGdxO2-y nanowires with the (011) orientation grown on the (001) surface of LaAlO3 substrates. Accordingly, epitaxial nanowires with aspect ratios above ~100 oriented along two mutually orthogonal axes are obtained leading to labyrinthine networks. Ultrafast coarsening (~60 nm/min) is achieved through Ostwald ripening and anisotropic dynamic coalescence, both promoted by strain-driven attractive nanowire interaction, and from fast recrystallization enabled by rapid atomic diffusion associated to a high concentration of oxygen vacancies. This new approach to nanowire self-organization can be used in many materials and thus has a wide potential to develop new devices and functionalities.[1] Gibert, M. et al., Adv.Materials 19 (22), 3937 (2007).
LL11: Novel Assembly Methods, Structures and Properties II
Session Chairs
Friday PM, April 09, 2010
Room 3016 (Moscone West)
2:30 PM - LL11.1
High-yield Deterministic Assembly of Nanowire Arrays for On-Chip Sensing Applications.
Jaekyun Kim 1 , Alexey Kovalev 1 , Boone Won 1 , Yanyan Cao 2 , Emil Hernandez 2 , Thomas Mallouk 2 , Theresa Mayer 1
1 Department of Electrical Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Department of Chemistry, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractBottom-up integration of batch-synthesized nanowires with silicon CMOS circuitry represents a significant step toward future miniaturized multi-functional chips with chemical diversity. Spatially-confined electric field forces have been used previously to position individual nanowires in high density arrays with submicron registration accuracy to lithographic features on the chip. This presentation will discuss an experimental and theoretical study that quantifies the long-range dielectrophoretic forces and shorter-range electrostatic forces that govern this deterministic nanowire assembly process. It will also provide a practical example that shows the chip-based integration of conducting polymer nanowire sensor arrays. The nanowire array assembly study used features that were designed to modify the electric field intensity and its spatial profile across the silicon chip. Initial experiments were conducted with pairs of metal electrodes separated by a narrow gap and coated with a thin dielectric layer. When an AC bias is applied between adjacent pairs of electrodes, an intense and uniform electric field is observed along the edges of the metal electrodes. This creates a long-range dielectrophoretic force that attracts and orients the polarized nanowires to span the narrow gap. Electrostatic interactions between the neighboring nanowires as well as the nanowires and the electrodes cause the nanowires to organize into a uniformly spaced array in which each nanowire is centered across the gap. The minimum spacing depends on many factors including the electric field intensity, nanowire length, and conductivity. A typical spacing for 7 μm long, 250 nm diameter Rh wires is ~5 μm. Although this structure provides uniform, oriented nanowire arrays, it lacks accurate registration to features on the chip.To overcome this limitation, the electric field intensity was increased in specific positions along the electrode gap by adding an array of 2 μm wide wells on top of the first dielectric layer. Experiments confirmed that the increased field intensity, and hence dielectrophoretic force, results in preferential assembly of individual nanowires within the wells. Individual nanowire assembly yields exceeding 95% were obtained at Rh nanowire densities >106 cm-2. This deterministic assembly process was used to fabricate arrays of electrically-contacted conducting polymer (e.g., PEDOT/PSS) nanowire chemical sensors. A histogram showing the chemical sensing response of over 50 individual nanowire sensors to three different volatile organic compounds will also be presented.
2:45 PM - LL11.2
Single-chain Magnets Incorporating [ReCl4(CN)2]2-.
T. Harris 1 , Miriam Bennett 1 , Rodolphe Clerac 2 , Jeffrey Long 1
1 Dept. of Chemistry, University of California, Berkeley, California, United States, 2 Equipe “Matériaux Moléculaires Magnétiques”, Centre de Recherche Paul Pascal (CRPP) and Université de Bordeaux, Pessac France
Show AbstractLess than a decade ago, slow relaxation of magnetization was discovered in one-dimensional coordination solids. These solids, known as single-chain magnets, often display energy barriers to spin inversion that are considerably higher than those observed in their single-molecule magnet counterparts, thus demonstrating the potential of single-chain magnets in practical applications such as high-density information storage and quantum computing. Toward this end, we have employed a building block approach to assemble new single-chain magnets from mononuclear transition metal complexes that feature axial terminal cyanide ligands, which can bridge other metal ions to direct the formation of one-dimensional solids. This strategy has led to the formation of the S = 3/2, high anisotropy complex [ReCl4(CN)2]2-, the first example of a paramagnetic molecule of the form MXa(CN)b. We have successfully incorporated this building unit into a series of one-dimensional solids [(DMF)4MReCl4(CN)2] (M = Mn, Fe, Co, Ni). Variable-temperature dc susceptibility measurements demonstrate the presence of intrachain antiferromagnetic (M = Mn) and ferromagnetic (M = Fe, Co, Ni) exchange coupling in the solids. In addition, probing the ac magnetic susceptibility as a function of both temperature and frequency reveals that all solids exhibit slow relaxation of magnetization, with spin-reversal barriers of Δτ = 31 (Mn), 56 (Fe), 14 (Co) and 22 (Ni) cm-1. Notably, the field-dependent magnetization of the iron congener exhibits significant hysteresis at low temperature, with a coercive field of HC = -1.2 T, thus demonstrating classical magnet behavior in a one-dimensional solid. Finally, the magnetization dynamics of all solids occur within the finite-size regime, where the magnetic domain growth is limited due to physical defects within the crystals.
3:00 PM - LL11.3
Modulated Interface Lithography: A Novel Method for in-situ Construction of Optical Nanostructures by Thermal Fields.
Euan McLeod 1 , Sandra Troian 1
1 Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractModern photonic, optoelectronic, and biofluidic devices demand inexpensive fabrication techniques that produce complex three-dimensional structures with low surface roughness. Conventional photolithographic processing typically requires many time consuming and costly steps, especially for arrays with structures of different elevation. In addition to defects arising from pattern registration and alignment, those processing steps requiring etchant solutions often result in undesirable levels of sidewall roughness, which compromise device performance. Post annealing to smoothen surface roughness is not always possible due to the range in melting temperatures of nearby structures and devices. The use of directed assembly by external fields for in-situ formation of 3D strucutres can simplify fabrication and significantly improve final device quality.Here we discuss the use of modulated interface lithography (MIL) based on thermal field projection for directed formation of 3D polymeric structures such as photonic ridge waveguides. A film of polystyrene or poly-(methyl methacrylate) with submicron thickness is spun coat onto a fused silica wafer and then heated above the polymer glass transition temperature. It is then brought within a few hundred nanometers of a second, cooler substrate whose surface can be flat or topologically structured. The proximity of these wafers yields thermal gradients of order 10^6-10^8 K/cm, which bias the system toward flow structuring by thermocapillary forces. This concept follows closely a recent theoretical analysis demonstrating that nanofilms subject to large thermal gradients undergo spontaneous growth of protrusions with controllable lateral spacing, height, and sidewall slope [1]. In comparison to nanoimprint (NIL) and electric-field driven (EFL) lithographies, MIL requires there be no contact between the template and polymer film, eliminating problems of mask removal in NIL, nor are there difficulties in controlling the degree of surface electrical charge as in EFL. Both NIL and EFL still require global heating in order to liquefy and shape nanofilms.For purposes of device fabrication, we pattern protrusions on the cooler substrate, which project into the air gap thereby locally enhancing the thermal gradient and thus guiding the formation of isolated polymeric structures with controlled growth rate. These molten structures instantaneously solidify in place once the thermal field is removed. The final structures as measured by AFM are inherently smooth, an important feature for optical applications. The waveguide mode structure, guiding properties, and optical losses are analyzed and compared to waveguides fabricated by traditional means. We anticipate that these studies will provide an important first step toward fabrication of more complex, multistructured photonic devices and optoelectronic circuits.[1] M. Dietzel and S.M. Troian. Phys. Rev. Lett., 103(7):074501, 2009
3:15 PM - LL11.4
Biomimetic Antireflective Surfaces for Deep-UV Applications.
Christoph Morhard 1 , Claudia Pacholski 1 , Dennis Lehr 2 , Michael Helgert 2 , Robert Brunner 2 , Joachim Spatz 1
1 , Max Planck Institute for Metals Research, Stuttgart Germany, 2 , Carl Zeiss Jena GmbH, Technology Center, Jena Germany
Show AbstractThe reflection of light at optical surfaces limits the efficiency of optical elements. Today, antireflective coatings are commonly used to suppress light reflection and most of them are composed of transparent multilayer structures whose principle of operation is based on constructive and destructive interference. Hence, the performance of these antireflective coatings depends on wavelength and incident angle. In addition appropriate coating materials with suitable refractive indices for the UV range do barely exist. We present antireflective surfaces which mimic structures on the corneal surface of moth eyes. The pillar-like structures result in a gradual change in the index of refraction from air to glass leading to an increased transmission of light in comparison to unstructured surfaces. Due to the sophisticated requirements for antireflective coatings for the UV-range such as structural dimensions - the lattice constants have to be less than half the wavelength - only time-consuming and expensive fabrication methods have been employed for their production. We developed a new approach for the preparation of such pillar-like structures that is fast and less expensive. Block copolymer micelle nanolithography (BCML) is used for the preparation of a hexagonally ordered array of gold nanoparticles which acts as a mask for a subsequent reactive ion etching (RIE) step ( Theobald Lohmüller, Michael Helgert, Michael Sundermann, Robert Brunner, Joachim P. Spatz. Biomimetic Interfaces for High-Performance Optics in the Deep-UV Light Range. Nano Letters 8,1429-1433 (2008) ). Interparticle spacing of less than 100 nm and a novel multi-step etching method allows for the creation of structures feasible for the UV-range. Our biomimetic structures increase the transmission at a wavelength of 248 nm by more than 6.5 % compared to uncoated substrates. Furthermore our pillar-like structures show a strong hydrophobic behavior and can therefore be used to tune the wetting properties on fused silica.
3:30 PM - LL11.5
Fracture Between Surfaces Functionalized With Self-assembled Monolayers.
Kenneth Liechti 1 , Seung Na 1 , Abbas Hassan 2 , Michael Krische 2
1 Aerospace Engineering & Engineering Mechanics, UT Austin, Austin, Texas, United States, 2 Chemistry and Biochemistry, UT Austin, Austin, Texas, United States
Show AbstractWhile it is intuitive that molecular interaction should correlate to the mechanical properties of a material, it has only recently become possible to make the measurements necessary to trace the effects of molecular interaction across length scales to properties at the level of the material. The utility of “classical” polymeric adhesives is underscored by their widespread use in primary structural applications ranging from aerospace, automotive, and civil structures to biomedical implants and microelectronic devices. To date, the vast majority of the efforts directed toward improving the strength and durability of adhesives have been largely empirical. At the same time, the drive towards miniaturization in MEMS and NEMS devices and nano patterning means that an understanding of adhesion and fracture at smaller and smaller scales needs to be developed. This actually provides an opportunity to decrease the amount of empiricism as the number of variables is essentially decreased.The paper describes the development of an experiment with associated analysis to determine the toughness of two functionalized silicon surfaces. Si (111) surfaces were coated with diamine and carboxy-terminated self-assembled monolayers (SAMs). The areal density of these molecules was varied in order to modulate the bonding interactions between the two surfaces. The silicon beams were pressed together to form miniature double cantilever beam specimens and then fractured using a specially developed fracture tester.The fracture toughness of the specimens was measured as a function of the areal density of bonds. Traction-separation laws were extracted from measurements of crack opening displacements. These compared favorably with traction-separation laws based on potentials for ionic bonding.
3:45 PM - LL11.6
Layer-by-layer Assembly of Charged Species in Non-polar Solvents: Generation of Photocatalytic MWCNT/TiO2 Composite Thin Films.
Kwadwo Tettey 1 , Micahel Yee 2 , Daeyeon Lee 1
1 Chemical and Biomolecular Eng, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 2 Bioengineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractElectrostatic layer-by-layer (LbL) deposition of charged species including polymers and nanoparticles has led to the generation of nanocomposite thin films with precisely controlled physicochemical properties. Various functional thin films with unique wetting, optical, catalytic and biological properties have been generated during the past two decades. Despite the strong interests in using the LbL deposition for creating functional thin films, the technique has been more or less used with species dissolved in aqueous solutions. In recent years, there has been a growing interest in the assembly of thin films using materials synthesized and suspended in non-polar solvents. Although layer-by-layer deposition could serve as a convenient way to assemble such films, the low permittivity of these solvents restrains these materials from acquiring charge. In our study, we demonstrate that layer-by-laser deposition of charged species can be performed in non-polar media. Charging of various colloidal particles in a non-polar organic solvent, toluene is achieved by using Aerosol-OT (Sodium bis(2-ethylhexyl) sulfosuccinate). While oxide based materials such as titania and alumina became positively charged, carbon-based particles such as carbon black and multiwalled carbon nanotubes (MWCNT) acquired a negative charge. These charged particles in toluene are subsequently used to build Carbon/Al2O3 and MWCNT/TiO2 nanocomposite films via layer-by-layer deposition. Through this process, we show that films with unique properties such as photocatalysis and sheet conductivity are attainable. The high specific area of these nanocomposite films makes them ideal as reactive surfaces.
4:00 PM - LL11: MSP-3
BREAK
4:30 PM - LL11.7
Three-dimensionally Networked Nanocomposites.
Morgan Stefik 1 , Hiroaki Sai 1 , Suntao Wang 2 , Huolin Xin 3 , David Muller 3 , Sol Gruner 4 , Francis DiSalvo 5 , Ulrich Wiesner 1
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 Lab Atomic & Solid State Phys, Cornell University, Ithaca, New York, United States, 3 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 4 Physics, Cornell University, Ithaca, New York, United States, 5 Chemistry and Chemical Biology, Cornell University, Ithaca, New York, United States
Show AbstractBlock copolymers have been extensively applied towards the synthesis of ordered nanocomposites. While many different compositions have been explored – oxides, metals, and carbon materials – published examples have generally been limited to morphologies containing a component with only one- or two-dimensional continuity. Key technologies under development such as photovoltaics, batteries, fuel cells, and supercapacitors could be greatly enhanced by structuring the active components in an interwoven three-dimensional network on the nano length scale. The use of amphiphilic ABC triblock terpolymers was found to enable facile synthesis of such ordered networked nanocomposites. The connectivity and symmetry of the resulting network structures were varied systematically by changing the polymer structure directing agent. Networked nanocomposites containing different metal oxides were demonstrated. The simple evaporative approach utilized here may enable translation to industrial settings.
4:45 PM - LL11.8
Controlled Stepwise Growth of Siloxane Chains Using Bivalent Building Units With Different Functionalities.
Peter Hess 1 , Nils Salingue 1 , Dominic Lingenfelser 1 , Pavel Prunici 1
1 Physical Chemistry, University of heidelberg, Heidelberg Germany
Show AbstractPoly(dimethylsiloxane) (PDMS) has found many applications due to its excellent properties such as biocompatibility, nontoxity, optical transparency, low surface energy, hydrophobicity, robust back bone, high flexibility, and thermal properties. Several of these properties are superior to those of self-assembled monolayers (SAMs) of long-chain alkylsilanes. Therefore, PDMS is intensely studied in current research, e.g., to develop bio-MEMS devices for microfluidics or microcontact printing (µCP), where it is necessary to control and tailor the surface properties. Despite the need to understand the siloxane chemistry on the molecular level the synthesis of siloxane monolayers and the elucidation of their properties have been considered only in very few publications. However, a controlled growth of siloxane structures has not been achieved because nonspecific oxidation generated several reaction centers in each siloxane unit, making well-ordered growth of building blocks quite difficult. In another study using bifunctional siloxanes with two reactive Si-Cl groups stepwise growth was limited by the tendency to form cyclic siloxanes and the fact that already the smallest DMS unit was bonded by both ends to the surface. The goal of the present work was to realize for the first time a controlled stepwise growth of siloxane units. For this purpose silane precursors with a Si-Cl group and Si-H group were employed, e.g., dimethylmonochlorosilane ((CH3)2SiHCl). These bivalent silane precursors contain a very reactive functionality, namely Si-Cl, and the less reactive latent functionality Si-H. Since the reactions of the Si-H bonds can be triggered by a secondary selective activation step, namely oxidation to Si-OH, the chemical reactions of the two functionalities can be separated and well-defined growth could be achieved in a step-by-step manner. It is shown that a series of commercially available silane precursors with a Si-H functionality chemisorb via siloxane bonds (Si-O-SiHR) on silica or oxidized silicon surfaces in a directed-assembly process. In these terminations the less reactive Si-H moiety is still incorporated as a potential functionality, which is capable of binding covalently to other functional groups. This approach can be repeated to modify and tailor the chemical behavior of the surface by bottom-up synthesis. The stepwise growth of siloxane chains on a flat silicon surface is demonstrated for dimethylsiloxane (DMS) by using Fourier transform infrared (FTIR) reflection-absorption spectroscopy (IRRAS), attenuated total reflection (ATR) spectroscopy, spectroscopic ellipsometry (IR-UV), X-ray photoelectron spectroscopy (XPS), and measurements of wettability.
5:00 PM - LL11.9
Hexagonally Ordered Mesoporous Keggin-type Polyoxometalates.
Marleen Kamperman 1 2 , Ram Sai Yelamanchili 3 , Thomas Lunkenbein 3 , Zihui Li 1 , Josef Breu 3 , Ulrich Wiesner 1
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 , INM - Leibniz Institute for New Materials, Saarbruecken Germany, 3 Department of Inorganic Chemistry I, University of Bayreuth, Bayreuth Germany
Show AbstractKeggin-type polyoxometalates (POM), discrete clusters with frameworks built mainly from transition metal oxo anions, exhibit unique properties leading to applications in fields such as catalysis, electrochemistry, and host-guest chemistry. Up until today, however, the relatively small surface areas of Keggin POMs (3 m2g-1 for commercial H3PMo12O40 xH2O) or small pore size (< 0.6 nm) Keggin POM renders the combination of accessibility to the active sites and higher flow rates difficult, thus limiting their applications. Here, we report the development of hexagonally ordered mesoporous Keggin POM using amphiphilic diblock copolymers as structure directing agents for small (<2 nm) Keggin clusters. Selective swelling of the hydrophilic domains of the block copolymer with the Keggin clusters resulted in ordered nanostructured morphologies, as observed by transmission electron microscopy and small angle X-ray scattering. Subsequent heat treatment led to ordered mesoporous materials with open and accessible pores as confirmed by nitrogen physisorption. Infrared spectroscopy and electrochemical activity measurements demonstrated that the Keggin clusters were still intact and showed redox activity after heat treatment. To the best of our knowledge, this is the first time that an ordered mesoporous Keggin POM material with hexagonal structure has been obtained through a block copolymer-type bottom-up approach.
5:15 PM - LL11.10
Flexible Responsive Replicas of Shaped Microparticles.
Veronika Kozlovskaya 1 , Eugenia Kharlampieva 1 , Olga Shchepelina 1 , Vladimir Tsukruk 1 2
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Polymer, Textile and Fiber Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractHollow polymeric replicas of starting anisotropic inorganic or organic microparticles were produced by layer-by-layer assembly (LbL) of hydrogen-bonded multilayers onto the templates. Dissolving/etching out the cores left behind hollow replicas of the initial core templates. We demonstrate that the hollow replicas of the anisotropic cores are able to keep their shape in solution after cores were destroyed. We also explored pathways for reversible switching of size and/or shape of the layered hydrogel replicas of the cores. We found that layered hydrogel shells of poly(carboxylic acid) derived from the multilayers assembled on the cores and later cross-linked, are capable of keeping the shape inherited from the inorganic templates when those templates are etched out and shells are freely dispersed in solution. Furthermore, we exploited pH-responsive properties of the flexible replicas to tailor their size and shape. We found that the shells inherit the original shape in solution even at pH 10 with the overall increase in size because of the shell wall swelling due to ionization of the polyacid within the replica wall. Variation of a cross-linker length, cross-linking time, and a precursor layer were also explored for tuning reversible variations of shape and/or size of the layered hydrogel replicas.
5:30 PM - LL11.11
Surface-directed Dewetting of a Nanostructured Block Copolymer for Fabricating Highly Uniform Nanostructured Micro-droplets and Concentric Nano-rings.
Richard Farrell 1 2 7 , Nikolaos Kehagias 2 3 , Matthew Shaw 4 1 , Vincent Reboud 3 , Marc Zelsmann 5 , Justin Holmes 1 2 6 , Michael Morris 4 , Clivia Sotomayor Torres 1 2 6
1 Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College, Dublin Ireland, 2 Micro-Nano centre , Tyndall National Institute, Cork Ireland, 7 Chemistry & Biochemistry, UCLA, Los Angeles, California, United States, 3 , Catalan Institute of Nanotechnology, Barcelona, Catalonia, Spain, 4 Intel Ireland, Collinstown Ind. Est., Co. Kildare Ireland, 5 Laboratoire des Technologies de la Microélectronique , CNRS, Grenoble, 30854, France, 6 Materials and Supercritical Fluid Group, Department of Chemistry , University College Cork, Cork Ireland
Show AbstractPatterned poly-silsesquioxane (PSSQ) films with varying via hole dimensions have been fabricated using a reverse ultra-violet nanoimprint lithography (RUVNIL) technique to investigate the self-assembling properties and polymer flow/de-wetting phenomena of cylinder forming polystyrene-block-polymethylacrylate (PS content 0.72, 67.0 kg/mol) block copolymer films following thermal annealing. Directed de-wetting can be utilised to produce uniform arrays of micro -sized droplets where the PS-b-PMMA polymer simultaneously undergoes microphase separation, de-wets the methylated surface and flows into via holes to minimize its surface energy during thermal annealing at 180 °C. Given that the PS block is tethered to the PMMA block and the existence of non-favourable substrate-polymer interactions, a highly regular de-wetting process is observed to occur across the via structures resulting in the formation of extremely regular phase-separated micro-droplets of varying dimensions from 250 nm to 2000 nm with a thickness at the centre of ~30 to 190 nm. Hexagonal topographic polydomain ordering was observed across the entire surface for micro-droplet structures above 400 nm except at the periphery where film thickness effects (approaching the equilibrium lengths of the PS-b-PMMA polymer) can perturb the orientation resulting in cylinders orientated parallel at the droplet edge. Furthermore, by increasing the via spacer (mesa), it is possible to isolate free-standing, self-aligned nano-rings (~250-350 nm) which form between the larger micro-droplets. The parallel PMMA cylinders form concentric ellipses with 2-3 PMMA cylinders possible as the thickness is below the natural equilibrium distance. Optical and magnetic based nanostructures may benefit from such hierarchal organisation and self-supporting/aligned nano-ring templates by combining block copolymer self-assembly with nano-imprint lithography.
5:45 PM - LL11.12
Mediating the Hydrogen Bonding Strength Modulates the Phase Behavior of Block Copolymer/Homopolymer Blends.
Shih-Chien Chen 1 , Shiao-Wei Kuo 2 , U-Ser Jeng 3 , Chun-Jen Su 3 , Feng-Chih Chang 1
1 Institute of Applied Chemistry, National Chiao Tung University, Hsinchu Taiwan, 2 Department of Materials and Optoelectronic Science, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung Taiwan, 3 , National Synchrotron Radiation Research Center, Hsinchu Taiwan
Show AbstractWe have investigated the phase behavior of poly(4-vinylphenol-b-styrene) (PVPh-b-PS) when respectively blended with poly(4-vinylpyridine) (P4VP), poly(methyl methacrylate) (PMMA), and PVPh, of systematically decreased hydrogen-bonding strengths with the PVPh blocks of the copolymer. The Fourier transform infrared spectroscopic result, indicates that the PVPh-b-PS/P4VP blend has a much higher fraction (fH) of hydrogen bonded PVPh blocks for a significantly higher miscibility, as compared to the blends with the other two additives of PMMA and PVPh. Consequently, the PVPh-b-PS/P4VP blend, behaving as a neat diblock copolymers, exhibited a series of order-order phase transitions from the lamellar, gyroid, hexagonally packed cylinder, to body-centered cubic structures upon increase of P4VP content from 6 to 71 % (volume fraction), as evidenced consistently by transmission electron microscopy and small angle X-ray scattering. In contrast, both the PVPh-b-PS/PMMA and PVPh-b-PS/PVPh blends maintained essentially the lamellar structure upon a similar increase in the additive volume fraction; the lamellar structure, however, is significantly distorted (especially the later blend of little or no hydrogen bonding) at high volume fractions of the additive. Based on the results, the ratio of inter-association equilibrium constant (KA) over self-association equilibrium constant (KB), KA/KB, is introduced as a convenient guide for predicting the phase behavior of similar polymer blend systems, featuring hydrogen bonding interactions between the homopolymer and copolymer: with a KA/KB ratio much larger than unity, the blend system tends to behave as a neat copolymer; when the KA/KB ratio is significantly smaller than unity, phase separation instead of order-order transitions can be expected for the system above certain volume fraction of homopolymer additive.