Symposium Organizers
Timothy Veal, University of Liverpool
Steven M. Durbin, University at Buffalo-SUNY
Martin Allen, University of Canterbury
Andre Schleife, Lawrence Livermore National Laboratory
Symposium Support
Army Research Laboratory
Lawrence Livermore National Laboratory
Z2: Oxide Semiconductor Devices I
Session Chairs
Elvira Fortunato
Jamie Phillips
Monday PM, November 26, 2012
Hynes, Level 2, Room 200
2:30 AM - Z2.01
Devices Based on Amorphous ZnCo2O4 and ZnSnO
Friedrich Leonhard Schein 1 Peter Schlupp 1 Zhipeng Zhang 1 Holger von Wenckstern 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractThe research on semiconducting oxides is mainly driven by applications in transparent electronics such as functional windows, ultra-fast high-definition displays or (semi)transparent layers for photovoltaic devices. To provide cost-efficient and homogeneous oxide thin films it is desirable to use amorphous materials which can be deposited at room temperature. Zinc tin oxide (ZTO) is a promising candidate without the drawback of using expensive indium as it is present in the well explored amorphous transparent semiconducting oxide (a-TSO) GaInZnO [1]. However, for active devices an electron- and a hole-conducting material is needed. The latter one could be realized with ZnCo2O4 (ZCO) since it is one of the rare p-type semiconducting oxides exhibiting reasonable conductivity and semi-transparency even in the amorphous state [2,3]. We present electrical and optical properties of amorphous p-ZCO and n-ZTO thin films as well as corresponding heterojunction devices. ZTO and ZCO samples have been fabricated by pulsed-laser deposition on a-sapphire or glass substrates at room temperature. Both materials are X-ray amorphous. A variation of oxygen partial pressure p(O2) during ZTO deposition from 0.05 mbar to 0.5 mbar results in conductivities ranging from insulating for high and low pressures up to 60 S/cm for intermediate p(O2). These typically 500-550 nm thin ZTO films exhibit optical transmission of TVIS = 45-85 % in the visible spectral range (400-800 nm wavelength). The conductivity of ZCO can be tuned from 10-5 S/cm up to about 10 S/cm by choosing appropriate p(O2) between 0.001 mbar and 0.25 mbar. The optical transmission can reach values up to TVIS = 54 % for 40 nm thin films [4]. Our first p-ZCO/n-ZTO heterojunctions on glass substrates showed only weakly rectifying behavior due to trap-assisted tunneling in the temperature range of 20 °C to 150 °C. The introduction of a 3-9 nm thin insulating ZTO (i-ZTO) layer between p-ZCO and n-ZTO resulted in clearly rectifying contacts. The fully amorphous p-i-n-diodes exhibit current on/off-ratios up to 6×103 at ±1 V. Thus they outperform the best fully amorphous TSO pn-junctions having on/off ratios of 103 at ±4 V (p-ZnRh2O4/n-GaInZnO [5]) and 102 at ±7 V (p-ZnCo2O4/n-GaInZnO [6]) reported so far. The temperature-dependent current-voltage characteristics and photocurrent-measurements will be discussed. [1] P. Görrn et al., Adv. Mater. 18, 738 (2006) [2] M. Dekkers et al., Appl. Phys. Lett. 90, 021903 (2007) [3] A. Zakutayev et al., Phys. Rev. B 85, 085204 (2012) [4] F.-L. Schein et al., IEEE Electron Device Lett. 33, 676 (2012) [5] S. Kim et al., J. Appl. Phys. 107, 103538 (2010) [6] T. Kamyia et al., Adv. Funct. Mater. 15, 968 (2005)
2:45 AM - Z2.02
Solution-processed p-Channel SnO Thin-film Transistors
Koshi Okamura 1 Babak Nasr 1 2 Richard A. Brand 1 Horst Hahn 1 2
1Karlsruhe Institute of Technology (KIT) Karlsruhe Germany2Technische Universitamp;#228;t Darmstadt and KIT Darmstadt Germany
Show AbstractOxide semiconductor thin-film transistors (TFTs) have been fabricated both in the conventional vacuum process and in the solution process intensively for n-channel type zinc oxide (ZnO) and amorphous oxide semiconductors. The material search for the p-channel type is, however, still challenging. Tin monoxide (SnO) is so far the most promising p-type oxide semiconductor that has been demonstrated for epitaxially grown and sputtered TFTs. In this study, p-channel enhancement mode SnO TFTs are fabricated in a solution process by spin-coating a methanol solution of the precursor tin(II) chloride dihydrate (SnCl2#9679;2H2O), followed by an exposure to ammonium hydroxide (NH4OH) and a postannealing in purified forming gas for 2 h. Systematic investigations reveal that (1) SnCl2#9679;2H2O turns to tin oxyhydroxide (Sn6O4(OH)4) upon exposure to NH4OH, (2) Sn6O4(OH)4 starts to dehydrate and convert to SnO upon annealing at a temperature of 200 °C, (3) SnO crystallites grow in the temperature range of 300-450 °C, (4) SnO begins to decompose to tin (Sn) at a temperature of 500 °C, and (5) SnO completely decomposes to Sn at a temperature of 600 °C. Annealing SnO thin films at a higher temperature of 475-500 °C increases the size of SnO crystallites, resulting in a higher degree of crystallinity and a lower number of grain boundaries. This, however, leads to a higher level of interface roughness and voids in the thin films which come from the growth of the large SnO crystallites and the formation of Sn precipitates. The best transistor performance is, therefore, demonstrated for a most smooth and homogeneous SnO thin film formed at the temperature of 450 °C, showing a highest field-effect mobility of 0.13 cm2 V-1 s-1, threshold voltage of -1.9 V, and on/off drain current ratio of 85.
3:00 AM - *Z2.03
Negative Bias Illumination Stress Instability of Oxide Thin Film Transistors for Next Generation Active Matrix Display
Jae Kyeong Jeong 1
1Inha Univ. Incheon Republic of Korea
Show AbstractMetal oxide semiconductor-based thin film transistors (TFTs) have been extensively studied for application in next-generation liquid crystal displays (LCDs) and organic light-emitting diodes (OLEDs) in backplane electronic devices due to their high field-effect mobility, good gate swing, low temperature processing capability, and transparency to visible light. In particular, the ZnO-based semiconductor has a wide band-gap (>3.0eV) that enables the transparent electronics or display to be realized. The intriguing transparent aspect, however, should be carefully exploited, because sunlight or ambient light through the transparent electronic devices can cause a significantly reliability concern in conjunction with the electrical bias and/or thermal stress. Bias stress-induced instability of their threshold voltage (Vth) has been observed during their operation because of simultaneous light stress, which is one of critical issues to be resolved for implementation of oxide TFTs into the real display product. The photo-reliability of oxide TFTs is particularly deteriorated by negative (NBS) rather than positive bias stress. The origin of this instability is still unclear, with three degradation models having been proposed: the trapping of photo-generated hole carriers, the creation of ionized oxygen vacancy defects, and the photo-desorption of oxygen-related molecules. Each degradation mechanism will be discussed, based on the dependence of gate dielectric materials, oxygen vacancy concentration, and device configuration on the negative bias illumination instability. Finally, the strategies for improving the stability of oxide TFTs will be suggested based on the proposed mechanism framework.
3:30 AM - Z2.04
High Performance Amorphous ZnON Thin Film Transistors for Next Generation Displays
Tae Sang Kim 1 Hyun-Suk Kim 1 Joon Seok Park 1 Kyoung Seok Son 1 Jong-Baek Seon 1 Seok-Jun Seo 1 Sun-Jae Kim 1 Myungkwan Ryu 1 Sang Yoon Lee 1
1Samsung Advanced Institute of Technology Yongin-si Republic of Korea
Show AbstractCurrent top of the line LCD TVs are equipped with Full HD resolution (1080×1920) panel with 3D capabilities. To enable 3D viewing experience without any motion blur, higher screen refresh rates are required. This in turn demands the need for high speed thin film transistors (TFT) that drive each pixel. Next-generation TVs will employ even higher resolution panels and possibly include multi-view autostereoscopic 3D technology which will negate the use of glasses for 3D viewing mode. Such TVs will definitely push TFTs to operate at even higher speeds. Currently, the most widely used semiconductor material for TFTs of large screen TV is amorphous silicon with field effect mobility of 0.5~1.0 cm2/Vs. However, in order to operate these aforementioned next-generation TVs, TFTs with much higher field effect mobility than that of amorphous silicon based TFTs are necessary. As a strong candidate to replace amorphous silicon for the TFTs of next-generation TVs, various types of metal oxide semiconductors have been extensively studied with typical field effect mobility at 10 times higher than that of amorphous silicon. For metal oxide semiconductors, especially for ZnO, grain boundaries that are formed during film growth are known to greatly suppress carrier mobility. To avoid this problem, combining multiple cations has been shown to effectively retain the structure of the semiconductor film in an amorphous phase while maintaining high carrier mobility. There are many variants of multi-cation amorphous metal oxide semiconductors, including the most popular In-Ga-Zn-O, with typical field effect mobilities ranging between 10 to 30 cm2/Vs. Although these numbers are much higher than that of conventional amorphous silicon TFTs, there is a strong demand for even higher mobility semiconductors which can exhibit excellent uniformity over a large area. In addition to multi-cation metal oxides, a different approach was also shown to be effective, which employs a multi-anion strategy (zinc oxynitride) to keep the structure in an amorphous phase. It was claimed that by reactive sputtering Zn metal with N2 and O2 gas, the competition between the growth of cubic zinc nitride (Zn3N2) and hexagonal zinc oxide (ZnO) enables the growth of amorphous ZnON film. In the present work, amorphous ZnON TFTs are prepared with different amounts of N and O. By carefully controlling the ratio of N to O, we can control the electrical properties of the TFTs achieving the field effect mobility as high as 50 cm2/Vs. In addition, through first principle calculations, we explain why it is possible to attain such high mobility TFTs with amorphous ZnON and investigate the effect of N to O ratio on the TFT performance. Our results show that amorphous ZnON TFTs have great potential for applications in the next generation LCD TVs with high resolution and faster refresh rates. In addition, it is also possible to implement this technology for novel applications such as large size AMOLED TVs.
3:45 AM - Z2.05
Illumination Induced Charge Transport in ZnO Thin Film Transistors
Jeffrey Siddiqui 1 2 Jamie Phillips 2 Kevin Leedy 3 Burhan Bayraktaroglu 3
1Defense MicroElectronics Activity McClellan USA2University of Michigan Ann Arbor USA3Air Force Research Laboratory Wright - Patterson AFB USA
Show AbstractOxide semiconductors have emerged as an important material for thin film electronics due to their ability to provide channel mobility and current handling capabilities that can match or exceed poly-silicon while maintaining low deposition temperature and use on a variety of host substrates. There is significant interest in using Zinc Oxide or similar oxides such as Indium Gallium Zinc Oxide and Zinc Tin Oxide to replace a-Si in thin film transistors (TFTs) for large area display technologies where transparency in the visible range and high carrier mobility are significant advantages. While recent performance is promising, charge transport properties are still not fully understood. The influence of grain boundaries, bulk defects, interface states, and other defects are a significant topic of interest. Response under various illumination conditions can provide information about various transport mechanisms in the transistor stack. Prior investigations on oxide semiconductor TFTs have indicated that illumination typically causes or enhances negative threshold voltage shifts. Three mechanisms have been reported as governing these instabilities: photo generated interface/dielectric hole trapping, photo desorption of oxygen related molecules, and creation of photo excited donor states. In addition, reports have shown a dielectric dependence on light-induced instabilities of ZnO-based amorphous semiconductors, but little work has been done on ZnO TFTs. In this work, illumination from photon energies well below-bandgap to above-bandgap are used to investigate ZnO TFT charge transport mechanisms. ZnO thin films via pulsed laser deposition are deposited on SiO2 via PECVD and HfO2 via atomic layer deposition. Analysis of passivated and unpassivated TFT transport will be presented. The comparison between dielectric material systems will elucidate differences in insulator and interface qualities while the comparisons between passivated stacks will provide information on the role of the ZnO surface in charge transport. Initial illumination induced transport results show clear trends of increased off current, which immediately relax upon illumination cessation. This phenomena is due to photo-generated electron-hole pairs. Illumination results also show negative shifts in the threshold voltage which increase with time and photon energy. These results indicate lateral charge transport is limited by thermionic emission over potential barriers in the nanocrystalline TFTs. These barriers are lowered by illumination, possibly due to electron emission from traps at the grain boundaries.
4:30 AM - Z2.06
Low Temperature ZnO TFTs Fabrication with Al and AZO Contacts for Flexible Transparent Applications
Gerardo Gutierrez-Heredia 1 2 Israel Mejia 1 Norberto Hernandez-Como 1 Martha E Rivas-Aguilar 1 Victor Hugo Martinez-Landeros 1 2 Francisco Servando Aguirre-Tostado 2 Bruce E Gnade 1 Manuel A Quevedo-Lopez 1
1University of Texas at Dallas Richardson USA2Centro de Investigacion en Materiales Avanzados Monterrey Mexico
Show AbstractNowadays, metal-oxide based materials have been used to fabricateThin-Film Transistors (TFTs) and diodes for transparent electronic applications such as displays, lighting systems, photodetectors and solar cells. Semiconductors with a wide bandgap above 3 eV are desired for transparent electronics. In oxide materials, the carrier concentration can be controlled on a wide range going from a semiconductor behavior to highly conductive behavior. In particular, Zinc Oxide (ZnO) allows low temperature processing and large area deposition totally suitable for flexible electronics applications. In this work we report the fabrication of ZnO TFTs using two source-drain contacts: Aluminum (Al) and Aluminum-doped Zinc Oxide (AZO). The entire fabrication process was carried out by using five photolithography masks with wet and dry etch techniques. The maximum temperatures the substrate undergoes were below 100 °C, which makes the process compatible with flexible and transparent applications. AZO and ZnO were deposited using Pulsed Laser Deposition (PLD). Al was deposited by e-Beam evaporation. Electrical characterization and parameter extraction showed mobilities >10 cm^2/V-s, threshold voltage in the range of 7 V and On/Off current ratio >10^6. Contact and channel resistance analysis showed that AZO can achieve better performance of TFTs with better interface than Al. AZO and ZnO films were characterized by UV-Vis and the complete TFTs stack showed an optical transmission higher than 80% in the visible spectrum.
4:45 AM - Z2.07
Replacing a Battery by a Nanogenerator Based on ZnO Nanowires with 20 V Output
Youfan Hu 1 Long Lin 1 Yan Zhang 1 Zhong Lin Wang 1
1Georgia Institute of Technolog Atlanta USA
Show AbstractWe have been working on “self-powered nanotechnology” since 2005 with the aim to build self-powered systems that operate independently, sustainably, and wirelessly without the use of a battery. One of the great applications for such a self-powered system is that it can be used for driving portable/wearable personal electronics in our daily life. Nanogenerators (NG) are one of the technologies that are developed to harvest irregular mechanical energy with variable frequency and amplitude in our environment by way of the piezoelectric effect. In this work, we have systematically investigated various approaches for improving the intrinsic properties of ZnO in order to achieve a high performance. The NGs&’ performance was greatly improved by pretreatment of the ZnO nanowire (NW) arrays in the device with oxygen plasma, annealing in air and surface passivation with certain polymers. The maximum output voltage from a single layer of nanowire reached 20 V, and the maximum output current exceeded 6 mu;A, in corresponding to a power density of 0.2 W/cm3, which is 20 times larger than the former best NG we have achieved. The NG&’s performance is good enough to drive a commercial buck convertor board, and a regulated voltage of 1.8 V with constant current load was achieved to drive an electrical watch for more than 1 minute after the board was charged by the NG with 1000 cycles of deformation. This is the first time that a NG works as the battery part for real commercial consumer electronics.
Z3: Highly Mismatched and Complex Oxide Semiconductors
Session Chairs
Monday PM, November 26, 2012
Hynes, Level 2, Room 200
5:00 AM - *Z3.01
ZnTeO Highly-mismatched Alloys
Jamie Phillips 1 Chihyu Chen 1 Jinyoung Hwang 1 Alan Teran 1 Vladimir Stoica 1 Roy Clarke 1
1University of Michigan Ann Arbor USA
Show AbstractMaterials with large mismatch in size and electronegativity, often termed highly-mismatched alloys, can provide unique electronic and optical properties desirable for a variety of device applications. These materials can exhibit a band anti-crossing behavior and large bandgap energy bowing with alloying. ZnTeO is among these materials, where the introduction of oxygen into ZnTe at low concentration provides isoelectronic centers with an energy level approximately 0.4eV below the bandedge that is highly radiative. In this work, the material properties of ZnTeO will be described to elucidate the relationships between oxygen alloying with electronic structure, optical properties, and carrier dynamics. ZnTeO materials were grown by solid source molecular beam epitaxy using a plasma source for the introduction of oxygen, where nuclear reaction analysis indicates an oxygen concentration of approximately 10^20 cm^-3. Photoluminescence and optical absorption measurements demonstrate strongly radiative transitions for states related to oxygen, including emission near 1.9eV and observation of a redshift in the ZnTe near bandedge emission (2.3eV) with increasing oxygen content. Time-resolved optical measurements show that the transitions associated with oxygen states exhibit long carrier lifetimes and ability to excite carriers from the valence band to conduction band via intermediate states through sequential subbandgap optical excitation. The observed optical properties are well-suited for solar energy conversion, where the application of ZnTeO to intermediate band solar cells will be presented.
5:30 AM - Z3.02
How Far Can Replacement of Some Oxygen by Sulphur Modify the Properties of Oxides?
Giancarlo Trimarchi 1 Veerle Cloet 2 Jino Im 1 Kanber Lam 1 Arthur J. Freeman 1 Kenneth Poeppelmeier 2 Alex Zunger 3
1Northwestern University Evanston USA2Northwestern University Evanston USA3University of Colorado Boulder USA
Show AbstractThe search for transparent hole conductors has so far mostly focused on oxides of late transition metals which, however, tend to have heavy hole masses, to the detriment of carrier mobility. Since the heavy holes reflect narrow valence bands set up by weak hybridization between the transition-metal d level and the deep O p levels, it was though that replacing some of the oxygen by sulphur, would lead to stronger hybridization with the transition-metal d levels, (as the S p levels are higher than the O p levels), thereby giving rise to more dispersive valence bands, lower hole masses, hopefully less chance of creating a bound hole polaron, and consequently higher hole mobility. In this scenario (the “band amalgamation picture”), the substitution of S for O will lead to continuous band broadening and continuous upwards shift of the band energies. These effects are distinctly different from the opposite scenario (the “impurity level/band pinning”), whereby the substitution of S produces an impurity-like S-localized state located deep in the band gap of the host oxide above the oxygen band, without significantly modifying the character of the valence bands originating from O p orbitals. Here we study experimentally and by first-principles methods how the change in the ratio of O and S affects the dispersion of the valence bands and the optical absorption in the quaternary oxide-sulfides of La and Cu. We find the following: (i) In LaOCuS, the wavefunctions of the valence states segregate into non-overlapping components of S p and O p character, localized within the Cu2S2 and La2O2 layers, respectively. The states at the valence band maximum (VBM) originate from the Cu2S2 layers without hybridization with the O p orbitals. This system then follows the band pinning scenario. (ii) In La3O2CuS3 the valence wavefunctions also segregate into the sub-2D La3O2 slabs and the wire-like CuS2 units. The VBM originates from the interaction between Cu d and S p orbitals and shows good dispersivity but with a narrower optical gap, measured to be 2.0 eV. This system follows the band pinning scenario. (iii) La5O4Cu6S7 is made of Cu2S2 layers alternating with La5O4S ones, in which a row of O atoms is substituted by S atoms. The hybridization between Cu d and S p orbitals occurs within the Cu2S2 layers and results in a large measured hole conductivity (~2.6 x 104 S cm-1). We also find that the O p and S p orbitals mix within the La5O4S layers, with the S p orbitals producing a band extending to higher energies than the valence states originating from the Cu-S layer. While this material has a high hole conductivity its measured optical band gap is 2.0 eV. From our study, we suggest that spatial segregation of S and O into 2D or sub-2D structures is a possible design principle for tuning, and possibly increasing, the hole-conductivity relative to that achieved in the oxides currently considered as candidates p-type transparent materials.
5:45 AM - Z3.03
Dopant-site Dependent Carrier Transport in High-mobility Barium Stannate Epitaxial Films
Useong Kim 1 Chulkwon Park 1 Taewoo Ha 3 Hyo Sik Moon 1 Hoon Min Kim 1 Hyung Joon Kim 2 Tai Hoon Kim 2 Kee Hoon Kim 2 Jae Hoon Kim 3 Kookrin Char 1
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3Yonsei University Seoul Republic of Korea
Show AbstractAmong important classes of functional materials, perovskite oxides have been studied with keen interest because of many fascinating physical properties including high temperature superconductivity, ferroelectricity, and colossal magnetoresistance. Our recent study on La-doped BaSnO3, in which electron mobilities are as high as 70 cm2/Vs and 300 cm2/Vs for epitaxial films and single crystal respectively, shows that such applications of perovskite oxides could be extended to transparent conducting leads and transparent oxide semiconductors. In our presentation, we will explain the mechanism of carrier transport in cubic perovskite BaSnO3 system with a comparative study on lanthanum and antimony doped BaSnO3 epitaxial films. Electron mobility in Sb-doped BaSnO3 film is, at best, 11.7 cm2/Vs, which is about 6 times smaller than that in La-doped BaSnO3 film. Such significant difference between electron mobilities in two systems, La- and Sb-doped BaSnO3, implies that the dopant site (A site for La and B site for Sb in ABO3 perovskite) in BaSnO3 governs the scattering rate of carrier with the ionized impurities, which is confirmed by little difference in their effective masses. Furthermore, from a cross sectional TEM work, we show that threading dislocations play an important role in carrier transport in epitaxial BaSnO3 films on SrTiO3 substrates.
Z4: Poster Session: ZnO and Related Materials II
Session Chairs
Andre Schleife
Tim Veal
Steven Durbin
Martin Allen
Monday PM, November 26, 2012
Hynes, Level 2, Hall D
9:00 AM - Z4.01
Growth and Characterization of ZnO Thin Film by RF Magnetron Sputtering for Photoacoustic Tomography
Takuya Matsuo 1 Katsuyoshi Washio 1
1Tohoku University Sendai Japan
Show AbstractA photoacoustic tomography with strong contrast and high resolution is one of the most attractive technologies in the medical diagnoses. This imaging principle is based on detecting the ultrasonic wave accompanied by thermal expansion at the tissue irradiated by a short-pulsed laser beam. To get the laser beam through and transduce the ultrasonic wave to the electric signal, the materials constructing photoacoustic transducers should have the features of both good optical transmittance and piezoelectricity. In this point of view, ZnO film is one of the most promising candidates. To achieve good piezoelectricity, the ZnO film should have both high resistibility and excellent c-axis crystalline orientation. Especially, the orientation of the crystalline axis is directly related to the electro-optic and acousto-optic effects of the film. In this study, the effects of the sputtering conditions on the ZnO films regarding crystalline quality and optical transmittance are investigated. ZnO films were deposited on quartz substrates by RF magnetron spattering. The target was ceramic ZnO disc and the gas used during processing was only Ar. Sputtering conditions of sputtering power PRF, substrate temperature TSUB, Ar gas pressure PAr and ionic impurity concentration were set as sputtering parameters. Furthermore, post annealing of ZnO film was added to study the residual stress in the film. The structural properties of ZnO films were investigated by the out-of-plane x-ray diffraction (XRD) and the transmission electron microscopy (TEM). From the strong peak and narrow full width at half-maximum (FWHM) of ZnO (002) diffraction, sputtering conditions of high TSUB and slow growth rate (low PRF) are preferred to obtain ZnO polycrystalline film consisted of grains with the c-axis of wurtzite crystalline cells strictly oriented along the normal direction to the surface of the substrate. Columnar grains were also observed by cross-sectional TEM. On the other hand, in the case of at low TSUB and fast growth rate, some peaks diffracted from ZnO (101) and (103) were observed in addition to c-axis orientation of (002) and (004). These results indicate that the excess supply of ZnO causes the degradation of crystalline quality. That is, to obtain ZnO films with c-axis oriented grains, the balance of ZnO deposition and Zn volatilization should be optimized by controlling PRF and TSUB. Optical transmittance of ZnO films with good c-axis orientation is 93%. More detailed results and considerations will be shown at the meeting.
9:00 AM - Z4.02
Property of ZnO-based Transparent Semiconductor Films Prepared by Aqueous Phase Deposition
Jausheng Wang 1 Yung-Hsin Tseng 1
1National Sun Yat-Sen University Kaohsiung, Taipei Taiwan
Show AbstractWe attempted to develop an aqueous phase deposition technique for fabricating the N-type ZnO and Al-doped ZnO (AZO) transparent semiconductor films with the following considerations in mind: environmentally benign starting material, production-friendly process and high energy efficiency. The study aims to answer following questions: (1) what are the possible starting chemicals that are environmentally benign in general, (2) what are the efficient process parameters that can form good quality ZnO/AZO films, and (3) what are the resistivity of ZnO and AZO films made by the aqueous deposition technology to be developed in this study. In sum, the semiconductor properties of ZnO and AZO films, such as carrier concentration (~2.2X1018 cm-3), mobility (~2.4 cm2/Vs), resistivity (~9.8x10-3 Omega;cm), and transmission (~85-90 %) as function of Zn and Al dopant concentrations at 0.1 mol%, 0.3 mol%, 0.5mol%, 0.8 mol%, and 1 mol% and processing parameters will be discussed. The possible mechanism of low resistivity in the films made by this technique will be presented.
9:00 AM - Z4.03
Comparative Study on Optical and Electrical Properties of Ga-doped ZnO Films Grown by Ion-plating and Atmospheric-pressure CVD
Tomoaki Terasako 1 Yoshinori Ogura 1 Shohei Fujimoto 1 Tadafumi Shimada 1 Masakazu Yagi 2 Huaping Song 3 Hisao Makino 3 Tetsuya Yamamoto 3 Sho Shirakata 1
1Ehime University Matsuyama-shi Japan2Kagawa National College of Technology Mitoyo-shi Japan3Kochi University of Technology Kami-shi Japan
Show AbstractA great deal of interest on next generation transparent electrode based on transparent conductive oxides (TCO) has been focused on highly transparent conductive polycrystalline Ga-doped ZnO (GZO) films. The GZO films have higher visible transmission than that of ITO (Sn-doped In2O3) films conventionally used in most of electronic devices such as flat display and touch panel, whereas their electrical resistivity (ρ) is higher than that of ITO films. In this study, to address routes toward improvement in GZO conductivity, a comparison of the effects of Ga-doping on electrical and optical characteristics between GZO films deposited by ion plating with dc-arc discharge (IP-GZO) and those prepared by atmospheric-pressure CVD (AP-CVD GZO) has been made. 200-nm-thick IP-GZO films having columnar structure with preferred c-axis orientation were deposited on alkali-free glass substrates at a substrate temperature (Ts) of 200 °C. Sintered ZnO tablets with different Ga2O3 contents ranging from 0.003 to 4 wt. % were used as resources. The ρ values and carrier concentration (n) determined by Hall-effect measurements at room temperature changed in the range from 2.5×10-4 to 0.08 Omega;cm and in that from 3.3×1018 to 1.3×1021 cm-3, respectively. Analysis of data of temperature dependence of Hall mobility of the GZO films with different n shows a continuous transition in dominant scattering mechanism in intra grain from ionized impurity scattering (from non-degenerate to degenerate) to thermal lattice vibration scattering with increasing n. AP-CVD GZOfilms grown in non-polar direction were prepared on r-plnae sapphire substrates by the AP-CVD method using Zn, H2O and GaCl3. TS and the source temperature of H2O (TH2O) were kept at 700 °C and at 54 °C, respectively. The source temperature of Zn (TZn) and of GaCl3 (TGaCl3) were 550-700 °C and 50-80 °C, respectively. The carrier gas flow rates for Zn (and GaCl3) and for H2O were 120 and 360 SCCM, respectively. The ρ values and carrier concentrations of AP-CVD GZO films changed in the range from 6×10-4 to 1.1 Omega;cm and in that from 5.2×1017 to 4.4×1020 cm-3. For the AP-CVD GZO films, we observed no remarkable n-dependent tendency of dominant-scattering-mechanism transition in intra grain like that of the IP-GZO films above. On the other hand, the results of the near-band-edge (NBE) photoluminescence spectra measurements at 10 K give the shift of the dominant NBE emission from 3.362 eV at n=5.2×1017 cm-3 to 3.376 eV at n=4.4×1020 cm-3 accompanied with its asymmetric broadening, whereas we found no behavior like that for IP-GZO films. We will discuss a comprehensive picture what causes the experimental results.
9:00 AM - Z4.05
Zinc Oxide Nanorod Plasma Lighting
Luca Lucera 1 Alexander G. Agrios 1 Helena Silva 1 Ali Gokirmak 1
1University of Connecticut Storrs USA
Show AbstractZinc oxide nanorods were grown on patterned highly doped silicon microstructures through a chemical bath deposition technique. Homogeneous and uniform coverage has been obtained, with length of the nanorods of ca. 2 µm and diameter of ca. 150 nm. When DC (up to 80V), AC (up to 80V peak to peak, 1-10 KHz frequency) or microsecond pulses (up to 40V) is applied to the pads of the microstructures, a bright and intense flash of blue-white light is obtained. The output spectra, acquired with a high sensitivity spectrometer (asymp; 1 nm FWHM), show sharp peaks in the UV range (330-334 nm), high-intensity peaks in in the blue range (467-480 nm) and broad emission from 450 nm to 800 nm. Most of the atomic transitions of elemental zinc (Zn I, Zn II) and oxygen (O I, O IV) were identified in the UV, visible and IR ranges. The spectra suggest the excitation of free electrons, leading to the formation of a microscale plasma that leads to high intensity blue-white lighting.
9:00 AM - Z4.06
Magnetic Interactions Study in ZnO Doped with Fe Ions Produced by Thermal Diffusion Processes
Roberto Baca 1 Miguel Galvan 3 Juan Vicente Mendez 2 Jose Albberto Andraca 2 Ramon Pena 3
1National Polytechnic Institute Mexico Mexico2National Polytechnic Institute Mexico Mexico3CINVESTAV Mexico Mexico
Show AbstractRecently the oxides have received attention and great interest due to their magnetic ordering above room temperature by doping a very low amount of transition metal ions, which are very promising for applications such as biosensing, catalysis, hyperthermia, doped magnetic semiconductors with lower energy losses and rapid magnetic response at alternating-magnetic fields. In this work we study the magnetic interactions of alternative layers thin-films of zinc and iron deposited sequentially on quartz substrates by vacuum evaporation and thermally diffused in air atmosphere conditions. Using Raman spectroscopy for the monitoring of iron ions diffusion we demonstrated that vibrational properties are crucial for understanding of the magnetic interactions, which corresponds to presence of a broad band attributed with magnons. From X-ray diffraction studies the magnetic and elastic behavior of the ZnO doped with Fe ions is demonstrated and connected by magnetoelastic coupling as well as related to nature of the stress type. MFM analysis let us estimate the magnetic moments across the scanned surface of the samples which is sensitive to stray fields produced by domain walls and confirmed by changes in the magnetic force between the sample and the magnetized tip.
9:00 AM - Z4.07
Band-edge Luminescence by Two-photon Absorption in ZnO Nanorods Grown by the Hydrothermal Technique
Sachindra Nath Sarangi 1 2 Hiroshi Ono 1 Kazuo Uchida 1 Surendrad Nath Sahu 3 Shinji Nozaki 1 Dongyuan Zhang 1
1University of Electrocommunications Tokyo Japan2Institute of Physics Sachivalaya Marg, Bhubaneswar-751005 India3The National Institute of Science and Technology Palur Hills, Berhampur 761008, India
Show AbstractZnO is an oxide semiconductor having the wurtzite structure with a direct band gap of 3.37 eV. The high-quality ZnO nanorods were grown on GaN substrates by the hydrothermal technique and exhibited intense near band-edge and broad defect-related photoluminescence (PL) in the visible wavelength range when they are exposed to the He-Cd laser. [1] We recently discovered that ZnO nanorods were also grown in the solution without any substrates by the hydrothermal technique. In the hydrothermal technique, a solution of 5mM each of zinc nitrate hydrate (Zn(NO3)2 . 6H2O) and hexamethylenetetramine (C6H12N4) was placed in a sealed stainless bottle and heated at 100 oC for 4 hrs. The nanorods were collected from the solution and dried. Their lengths and diameters were 2 - 10 mu;m and 200 - 800 nm, respectively. The wurtzite structure was confirmed by XRD and Raman measurements. Although the crystallinity and crystal structure are not much different from those of ZnO nanorods grown on substrates, the ZnO nanorods grown in the solution show the PL characteristics distinct from those on substrates. The PL spectrum obtained by exposing the nanorods grown in the solution to the He-Cd laser has two peaks, one associated with the band-edge emission and the other with defects like that of the nanorods on the substrates. However, the band-edge luminescence at 388 nm is much weaker and the defect-related luminescence peak is much more intense and broader. The band-edge luminescence intensity increased 40 times, and the defect-related luminescence intensity significantly decreased after dipping the sample of nanorods in a 1 % solution of HNO3. This may be due to removal of the surface defects responsible for the visible luminescence. The optical band edge estimated from the optical absorption spectrum agrees with the peak energy of the band-edge emission. The broad peak covers the wide range of the visible wavelength from 450 to 850 nm and makes the luminescence color white to the naked eye when they are exposed to the He-Cd laser. Such broad luminescence is needed for phosphors to be used in the white LED application with a UV LED. It was interesting to find that the PL spectrum of the nanorods exposed to the laser with wavelength of 532 nm was similar to that exposed to the He-Cd laser. It should be noted that no luminescence was observed from the nanorods on the substrates when they were exposed to the laser with wavelength of 532 nm. The photons with wavelength of 532 nm do not have sufficient energy to excite valence electrons to the conduction band. Nevertheless, the near band-edge emission is observed as if the nanorods were exposed to the He-Cd laser. The electrons must be excited to the conduction band by absorbing two photons sequentially. Such two-photon absorption is hardly observed in ZnO and made possible by a high-density of defects. Reference [1] J. Nayak et al. J. Phys. D: Appl. Phys. 41, 115303 (2008).
9:00 AM - Z4.09
Ab initio Study of the Effect of Oxygen Vacancy on Magnetism in Co Doped ZnO
Soumia Lardjane 1 2 Ghouti Merad 2 Nour-eddine Fenineche 1 Houda Imane Faraoun 2 Alain Billard 1
1UTBM Site de Montbamp;#233;liard, 90010-Belfort cedex France2University of Tlemcen B.P. 119, 13000 Tlemcen Algeria
Show AbstractDiluted magnetic semiconductors (DMSs) have attracted great attention due to their potential applications in spintronics which allow the control of both the charge and the spin degrees of freedom of the charge carriers. The major obstacle for their practical applications is the attainment of a Curie temperature (TC) at or preferably above room temperature. Following the theoretical prediction by Ditel et al [1] that Mn-doped ZnO could have a large Curie temperature, ZnO-based diluted magnetic semiconductor has become the subject of intense research. In particular, the Co doped ZnO is a promising candidate for applications requiring ferromagnetism above room temperature. Nevertheless, there have many contradictory reports on its magnetic states. While some of these reports reveal ferromagnetism with Tc above or close to room temperature [2], other reports found not only a low magnetic ordering [3] but the absence of ferromagnetism and the presence of paramagnetism or antiferromagnetism [4,5] and in this case, defects (O vacancy (VO), Zn vacancy (VZn) and Zn interstitial (Zni)) were suggested to induce their high-temperature ferromagnetism. Our work aims to study, the effect of VO on the electronic and magnetic properties of ZnCoO, with first principle methods based on density functional theory (DFT). Calculations were performed, on a periodic 3×3×3 wurtzite supercell of ZnO which consists of 108 atoms with two Co ions substituted for two Zn atoms, using the generalized gradient approximations (GGA) and the GGA with Hubbard U correction (GGA+U). We have studied the interatomic exchange interaction with and without VO for different configurations with different magnetic atom lattice arrangements. The total energies, electronic structures and magnetic moments were calculated for each configuration. [1] Dietl T, Ohno H, Matsukura F, Cibert J and Ferrand D 2000 Science 287 1019 [2] Yang J H, Cheng Y, Liu Y, Ding X, Wang Y X, Zhang Y J and Liu H L 2009 Solid State Commun. 149 1164 [3] Jin Z, FukumuraT, Kawasaki M, Ando K, Saito H, Sekiguchi T, Yoo Y Z, Murakami M, Matsumoto Y, Hasegawa T and Koinuma H 2001 Appl. Phys. Lett. 78 3824 [4] Ney A, Ollefs K, Ye S, Kammermeier T, Ney V, Kaspar T C, Chambers S A, Wilhelm F and Rogalev A 2008 Phys. Rev. Lett. 100 157201 [5] Xu Q Y, Zhou S, Marko M, Potzger K, Fassbender J, Vinnichenko M, Helm M, Hochmuth H, Lorenz M, Grundmann M and Schmidt H 2009 J. Phys. D: Appl. Phys.42 085001
9:00 AM - Z4.10
Defect Modulation and Morphology Evolution of Copper-doped ZnO Nanorods via Electrochemical Method
Yu-Kai Lin 1 2 Huang-Ming Tsai 3 Cheong-Wei Chong 1 2 Hong-Ji Lin 3 Yang-Fang Chen 1 Kuei-Hsien Chen 4 Li-Chyong Chen 5
1National Taiwan University Taipei Taiwan2Academia Sinica Taipei Taiwan3National Synchrotron Radiation Research Cente Hsinchu Taiwan4Academia Sinica Taipei Taiwan5National Taiwan University Taipei Taiwan
Show AbstractZnO has been attracted considerable interests to the optical and electronic industries, because of its electrical, optical, and acoustic characteristics [1]. As in any semiconductor, defects in ZnO influence its electric and optical properties greatly. ZnO with a wurtzite structure is naturally an n-type semiconductor because of a deviation from stoichiometry due to the presence of intrinsic defects such as O vacancies (Vo) and Zn interstitials (Zni) [2]. Moreover, copper was chosen as a dopant in this study due to its characteristic of hole acceptor and its potential for band-gap engineering to ZnO. This additional modification of ZnO was expected to change its crystal structure as well as the electronic structure which can broaden the application of ZnO. The types and the densities of the defects in ZnO are strongly correlated to the growth conditions. Here we adopt electrochemical method to deposit ZnO nanorods on FTO substrate. By varying solution compositions and tuning the applied bias in the electrodeposition process, the defects in ZnO can be modulated. XRD and SEM were first analyzed to check the crystal structure and the morphology evolution of Cu-doped ZnO after electrodeposition. The mechanism of electrodeposition process was also discussed. We found that the pH value at the electrode surface would play an important role on the deposition rate, and the anions in the solution would affect the morphologies of ZnO:Cu. X-ray absorption spectroscopic (XAS) techniques were employed to analyze the electronic structures of ZnO:Cu. Based on the results of XAS, we found that some defects, such as Vo and anion impurities, in the ZnO:Cu lattice can be reduced or rearranged after post annealing treatment. This alteration of defects was not only observed in the photoluminescence, but also affected the magnetic properties of ZnO:Cu. In this regard, we expect that ZnO:Cu can be a potential material for optoeletronic and spintronic application by controlling its defects and morphologies well. [1] M. Izaki et al., Appl. Phys. Lett. 68, 2439 (1996) [2] U. Ozgur et al., J. Appl. Phys. 98, 041301 (2005)
9:00 AM - Z4.11
High-performance ZnO Mott-barrier Diodes Fabricated at Room Temperature
Zi-Jheng Liu 1 Hsin-Wei Huang 1 Jon-Yiew Gan 1 Tri-Rung Yew 1
1National Tsing-Hua University Hsinchu Taiwan
Show AbstractA Mott barrier is a metal-semiconductor junction in which the semiconductor layer is intrinsic (or very lightly doped) and much narrower than its required depletion width. This structure can improve the RC time delay and burnout capability of a Schottky diode. So far, Mott-barrier diodes have been mainly made of epitaxial single-crystal Si or GaAs. However, their complex and high-temperature fabrication is difficult to be compatible with future device applications, such as three-dimensional devices and flexible electronics. In this study, we designed and fabricated polycrystalline ZnO Mott-barrier diodes in the form of Pt/ZnO:Al/ZnO/Pt-stacked structures by a room-temperature sputtering process. A detailed and systematic analysis on the diodes was conducted by the current-voltage (I-V), capacitance-voltage (C-V), pulse-response, reliability, and varying-temperature measurements. The proposed diodes exhibited high rectifying ratio of 4.7×10^4, short switching time of <50 ns, and stable rectification up to 10^10 cycles under ±2 V pulse stress. The satisfactory characteristics demonstrate the potential for future device applications.
9:00 AM - Z4.12
Synthesis and Characterization of Cu-doped ZnO Film in Nanowire Like Morphology Using Low Temperature Self-catalytic Vapor-liquid-solid (VLS) Method
Ratheesh Ramachandran Thankalekshmi 1 2 Samwad Dixit 1 2 In-Tae Bae 3 Daniel VanHart 2 Alok C. Rastogi 1 2
1SUNY Binghamton Binghamton USA2SUNY Binghamton Binghamton USA3SUNY Binghamton Binghamton USA
Show AbstractZinc oxide (ZnO) nanostructures have attracted tremendous interest due to their applications in various fields, such as solar cells, magnetic semiconductors and other functional devices. Various doping with Gr. III and Gr. II elements in ZnO have been studied to tailor its electrical and optical properties for devices. In this context Cu-doped ZnO (CZO) has attracted considerable attraction as it might prove to be a good candidate for producing p-type-ZnO and for obtaining reduced band gap ZnO. For the growth of 1-D structures vapor-liquid-solid (VLS) growth techniques is widely used which require external catalyst like Au or Cu nanoparticle [1-2]. Furthermore, the VLS process is carried out at high (600 to 1370°C) temperatures [1-2] which is not suitable for multi-layer device fabrication. Here, we describe a self-catalytic VLS method to synthesize CZO film in nanowires morphology at much lower temperature ~300°C. The CZO films were deposited at ~300°C by a closed space flux sublimation and periodic oxidation method. The film growth involves sublimation of volatile BiI3 mixed with CuI and fine Zn powder in specific ratio over substrates placed at 5 mm distance. The process is carried out at 0.3-0.5 Torr pressures and ~300°C under Ar flow with periodic 3-5 min exposure to oxygen ambient at atmospheric pressure. CZO films in the nanowire densely packed clustered morphology is obtained in 20-30 nm diameters and 3-5 mu;m lengths. X-ray diffraction pattern establishes that CZO nanowires retain the crystallite structure of the wurtzite ZnO. Based on the shifts towards higher angle side in CZO nanowire films compared with that of the undoped nano ZnO it is inferred that Cu+2 substitutes for Zn+2 . TEM studies indicate single crystal character of the CZO nanowires. Optical absorption analysis of CZO nanowires defines two direct energy band gaps. The low energy band gap at 3.2eV is intrinsic to the CZO material. The high energy band gap at 3.5eV is attributed to the nanosize, mediated by strong forward scattering of light from the nanowires [3]. Sharp photoluminescence in CZO corresponding to near bandgap free exciton emission is observed and a redshift of ~0.08eV is consistent with effect of Cu doping. The visible emission band in both ZnO and CZO show a broad green-yellow emission band with Cu substitution shifting the maximum visible luminescence towards blue side. In conclusion this work establishes the oxidative process controlled self-catalytic VLS mechanism of CZO nanowire film formation. The CZO nanowires characterized by detailed XRD, TEM, optical and PL studies significantly show Cu-substituted ZnO single crystal nanowires formation without secondary phases with Cu concentration ge; 1.9 at%. REFERENCE 1. E. Comini et al., Proc. IEEE Sensors Conf., pp. 835-838, Italy (2008). 2. C. Xu et.al. , Nanotechnology, 15, 856 (2004). 3. R. R. Thankalekshmi et al., Adv. Mat. Lett., (2012).
9:00 AM - Z4.13
Optical Characterization of Nitrogen-doped ZnO Nanowires Grown by Chemical Vapour Deposition
Cuong Ton-That 1 Liangchen Zhu 1 Mark Lockrey 1 Matthew Phillips 1
1University of Technology, Sydney Sydney Australia
Show AbstractNitrogen is often regarded as one of the most suitable p-type dopants for ZnO. In this work, N-doped ZnO nanowires were grown by chemical vapour deposition using carbothermal reduction of ZnO powder and N2O as a source of nitrogen on silicon (111) and sapphire (11-20) substrates. Using this synthesis route, the nitrogen content and the nanowire defect chemistry can be controlled by varying the N2O and O2 flow rates and the growth temperature (900 - 1200 K). The grown nanowires have a diameter of about 80 nm and 1 µm in length. The introduction of N2O gas does not produce discernible effects on nanowire dimensions. X-ray diffraction analysis showed the nanowires were of high crystalline quality and preferred to grow along the c-axis orientation, perpendicular to the substrate surface. Raman spectroscopy revealed a vibrational mode at 582 cm-1 in addition to the host phonons of ZnO, confirming the presence of nitrogen in the nanowires. The optical properties of the nanowires were characterized using cathodoluminescence (CL) spectroscopy at temperatures from 10 K to 80 K. The CL spectrum of undoped nanowires at 80 K consists of a near band edge (NBE) emission at 3.35 eV, comprised of localized bound exciton (BX) and free exciton (FX) peaks. Longitudinal optical (LO) phonon replicas (FX - 1LO and FX - 2LO) are clearly identifiable in the CL spectra from both doped and undoped nanowires (LO phonon energy of 72 meV), indicating that nitrogen incorporation does not induce a significant degree of disorder in ZnO nanowires. Donor-acceptor-pair (DAP) luminescence at 3.23 eV was used as a direct probe of acceptors in nanowires. A peak in this energy region was observed in both undoped and N-doped nanowires at 80 K; however, the peak intensity was enhanced in the N-doped nanowires as compared with undoped nanowires grown under identical conditions. At 80 K, there is possible interference from the FX - 2LO as this emission band occurs essentially in the same energy region as the N-related DAP band. The DAP emission from the N-doped nanowires was measured down to 10 K, at which the FX emission becomes very weak and BX recombination pathways dominate the NBE spectra. The thermal behaviour of the FX in N-doped ZnO nanowires shows no correlation with the intensity of the 3.23 eV feature, suggesting that nitrogen is responsible for this emission. The N-doped nanowires are believed to be still n-type as the donor-bound luminescence remains dominant in the NBE emission. These results will be discussed in context of recent theoretical studies of nitrogen in ZnO.
9:00 AM - Z4.14
Investigation on the Electrical, Optical and Damp Heat Stability of ZnO:Al Films Deposited by High Power Impulse Magnetron Sputtering
Jin-Bao Wu 1 Jia-Jen Chang 1 Hao-Wen Cheng 1 Ming-Sheng Leu 1
1Materials Research Laboratories, Industrial Technology Research Institute Hsinchu Taiwan
Show AbstractHighly transparent conductive Al-doped ZnO (AZO) thin film were deposited by using high power impulse magnetron sputtering (HIPIMS) from a Al-Zn alloy target. A pulsed target current more than 100 A was generated on the Al-Zn target in order to make highly ion energy and fully ionization plasma. The films properties were correlated with the growth conditions, including O2 partial pressure, Al doping content of the target and pulsed current apply to the target. The properties of the films such as crystallinity, chemical composition, electrical and optical of the AZO films were investigated. The experimental XRD results showed that the AZO films preferred c-axis orientation along the (002) plane and the grain size calculation were in the range of 14.0-22.6 nm. The minimum resistivity is as low as 7×10-4 Omega;-cm with the average transmittance of films in the visible range (400-700 nm) is above 85 %. It was found that the O2 partial pressure affected not only the electrical properties of the films but also the optical band gap. Furthermore, the degradation and performance studies of AZO and its variants have been performed under varied temperature conditions at 60oC and 95% RH.
9:00 AM - Z4.15
Study of SAM Adsorption on ZnO Nanocrystalline Films as a Function of Crystal Growth Geometry by Means of QCM and FT- IR Spectroscopy
Agata Weronika Pomorska 1 Ozlem Ozcan 1 Berkem Ozkaya 1 Guido Grundmeier 1
1University of Paderborn Paderborn Germany
Show AbstractZnO nanocrystalline films have invoked great attention due to their high potential as functional materials in optics, catalysis or as electrode material in fuel cells. Recently, the positive effect of such films on corrosion properties of zinc has been reported [1]. Self-assembled monolayer (SAM) modification of the zinc oxide film is a promising method for the application-specific tuning of the surface chemistry. Thermally and chemically stable SAMs have strong adhesion to various substrates, giving an opportunity to functionalize metal oxide surfaces for tailoring certain purposes (e.g. adhesion of organic coatings). ZnO nanocrystalline film as a substrate demonstrates a new system with easily adaptable morphology for the fundamental investigation of adhesion of bi-functional SAMs of phosphonic acids. In this paper we are presenting the hydrothermal synthesis of ZnO nanocrystalline films with controlled morphology and the in-situ investigation of the adsorption of bi-functional phosphonic acid molecules on synthesized ZnO nanocrystalline films as a function of the molecule chain length, functionality as well as aspect ratio of the different crystallographic orientations of the substrate. The selection of different growth times allows the deposition of nanowire films with different aspect ratios of the polar and non-polar crystalline surfaces. Moreover, the modification of the hydrothermal synthesis procedure by means of a growth promoter enables the preparation of continuous nanocrystalline ZnO films [2]. The aim is to gain a better understanding of the self-assembly process at the oxide metal/liquid interface by means of an acoustic surface- sensitive Quartz Crystal Microbalance (QCM) and Fourier- Transform Infrared Reflection Adsorption Spectroscopy (FT- IRRAS). Supplementary measurements have been performed on polar and non-polar ZnO single crystals to achieve a fundamental understanding of the SAM/oxide interaction. The selection of bi-functional molecules with different functionalities and chain lengths as well as ZnO nanocrystalline films with different morphology enabled the analysis of the self assembly process on ZnO film presenting a new approach for the design of functional ZnO nanocrystalline surfaces by means of interface engineering. [1] O. Ozcan, K. Pohl, P. Keil, G. Grundmeier, Electrochemistry Communications (2011), 13, 8, 837-839. [2] J.B. Baxter, C. A. Schmuttenmaer, J. Phys. Chem. B (2006), 110, 25229- 25239.
9:00 AM - Z4.17
Rare-earth Doped ZnO Materials for Near-IR Emission
Emanuele Francesco Pecora 1 Thomas Ian Murphy 1 Luca Dal Negro 1
1Boston University Boston USA
Show AbstractTransparent conductive oxide materials are currently utilized as top-contact passive layers in many optical devices, including emitters and solar cells. In particular, zinc oxide has been largely investigated due to its wide direct band-gap, large exciton binding energy, radiation hardness, photoconducting and piezoelectric properties, thermal stability as well as bio-compatibility and possible VLSI compatibility. Band-to-band radiative recombination has been recently demonstrated resulting in efficient UV and visible LEDs and lasers. In this work, we propose rare-earth doped and co-doped ZnO materials as a novel approach to light emitting devices in the near-IR for bio-compatible applications. Er and Nd (co-)doped ZnO thin films have been grown on silicon and quartz substrates through confocal magnetron co-sputtering. Structural, optical and electrical properties have been investigated over a wide range of growth conditions and thermal processes by the use of several techniques to find the best conditions for rare earth light emission. Excitation and transport mechanisms are studied through photoluminescence spectroscopy, photoluminescence excitation spectroscopy, and time-resolved photoluminescence using a widely tunable OPO laser (350 - 2000 nm) pumped by an high-power Ti:sapphire laser. Rare earth-related emission has been observed peaked at 920, 980, 1040 and 1540 nm in materials with optimized stoichiometry. These findings pave the way for the demonstration of rare earth doped ZnO active materials for bio-compatible light sources and sensors.
9:00 AM - Z4.18
Structural, Electrical and Optical Properties of Al and Mn Doped ZnO Films Grown by Combinatorial Pulsed Laser Deposition
Citlali Sanchez-Ake 1 Leonardo Moreno 1 Rocio Camacho 1 Dwight Acosta 2 Monserrat Bizarro 3
1Centro de Ciencias Aplicadas y Desarrollo Tecnologico, Universidad Nacional Autonoma de Mexico Mexico Mexico2Instituto de Fisica, Universidad Nacional Autonoma de Mexico Mexico Mexico3Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico Mexico Mexico
Show AbstractOxide semiconductor thin films doped with different elements have been widely studied due to the special characteristics of these materials depending on the type of dopant. Crucial parameters for all potential applications are the content and distribution of dopants in the films, which in turn depend on the deposition method. In this work, thin films of ZnO doped with Mn and Al have been grown by double-beam combinatorial pulsed laser deposition. In this alternative configuration of the conventional technique, multiple targets and laser beams are used to produce the films. In our particular configuration, one laser beam ablates a ZnO target while a second beam irradiates the dopant material. In order to change the characteristics of the deposited films, the delay between laser pulses ranged from the nanosecond to microsecond scale. The films were analyzed by X-ray diffraction, energy-dispersive X-ray spectroscopy, high resolution transmission electron microscopy, optical absorption and Hall effect measurements. It was found that varying the relative delay between plasma plumes gives control of the dopant content without affecting the crystalline structure of the films. The main advantage of this result is the possibility to modify the amount of dopant during the growth process without the necessity of preparing a new target. Moreover, preliminary results suggest that this configuration also allows controlling the dopant distribution. The structural, optical and electrical properties were related to the relative plume delay.
9:00 AM - Z4.19
Visible Light Photocatalytic Activity of ZnO Micro Hollow Spheres Prepared by Solvothermal Process
Taiki Ihara 1 Hajime Wagata 2 Toshihiro Kogure 3 Ken-ichi Katsumata 1 Kiyoshi Okada 1 Nobuhiro Matsushita 1
1Tokyo Institute of Technology Yokohama Japan2Shinshu University Nagano Japan3The University of Tokyo Tokyo Japan
Show AbstractIn the past two decades, oxide semiconductor photocatalysis has attracted extensive attention due to its wide potential application in environmental protection procedures such as air purification, water disinfection, hazardous waste remediation, and water purification. Besides TiO2, ZnO has been intensively studied due to their high photosensitivity, nontoxic nature, large bandgap and low cost. Moreover, the ZnO photocatalyst can be easily manipulated with desirable microstructures, which are proved to be important factors affecting the photocatalytic activities. Therefore, controllable design of ZnO photocatalysts with various textures is the focus of the current research. Usually, most ZnO photocatalysts are only active under UV light (3-5% of the total sunlight), and it is highly desirable to exhibit high activities under visible light. In our previous study, we succeeded in synthesizing the ZnO micro-hollow spheres by template-free solvothermal process using ethylene glycol (EG) and hexamethylenetetramine (HMT). These obtained hollow spheres have interesting structure whose surface is consisted of c-plane of ZnO crystals and it would be expected to improve the photocatalytic activity. In this study, we investigated the photocatalytic activity of these ZnO hollow spheres under visible light. In a typical synthesis, 3 mmol of zinc acetate and 12 mmol of HMT were dissolved in 30 ml of mixed solution of EG (95 vol%) and distilled water (5 vol%). It was transferred into a 35 ml Teflon-lined stainless steel autoclave, followed by heating in an oven at 150oC for 12 h. After the reaction, the precipitates were collected, washed with ethanol five times, dried at 60oC and finally heat treated at 300, 500, 700oC for 4 h. The photocatalytic activity of the prepared samples in terms of the photocatalytic decolorization of Methylene blue (MB) aqueous solution was performed at ambient temperature under visible-light irradiation using a fluorescent light (8000 lx) with a 420 nm cutoff filter as a light source. The diffraction peaks in XRD patterns for all samples were good agreement with those of the hexagonal wurtzite structure of ZnO (JCPDS card 36-1451). SEM images revealed that samples heat treated at each temperature kept hollow structure even at 700oC. In the UV-vis diffuse reflectance spectra, interestingly, all the heat treated ZnO hollow spheres exhibited significantly enhanced absorption in the UV and visible-light region, relative to commercial ZnO and as-prepared ZnO hollow spheres. Such a red shift expands the light response range to the visible region and will increase the number of photogenerated electrons and holes able to participate in the photocatalytic reaction. Heat treated samples exhibited visible light photoactivity even though as-prepared sample did not show.
9:00 AM - Z4.21
Improvement of Stimulated Fabry-Perot Lasing in ZnO Nanowires Using Distributed Bragg Reflectors
Jieying Kong 1 Sheng Chu 1 Jian Huang 1 Mario Olmedo 1 Weihang Zhou 2 Long Zhang 2 Zhanghai Chen 2 Jianlin Liu 1
1University of California, Riverside Riverside USA2Fudan University Shanghai China
Show AbstractZnO nanowire with a direct wide band gap of around 3.30 eV, has two natural flat ends as mirrors to form Fabry- Perot cavity. The lasing performance is strongly dependant on the optical properties of the two mirrors. Lower lasing threshold can be realized by enhancing the optical reflection at one end with distributed Bragg reflector (DBR) structure. In this presentation, we report a new optically pumped DBR-assisted ZnO nanowire laser. We grew a 10-period DBR structure with designed thicknesses of the alternative layers made from SiO2 and SiNx. The reflectivity at working wavelengths from 380 nm to 390 nm reached 95%. Vertically aligned ZnO nanowires were achieved by CVD on a MBE-grown ZnO polycrystalline seed layer on DBR. Stimulated emissions with equally distributed Fabry-Perot lasing modes were observed as the pumping power was larger than 173 kW/cm2. The corresponding threshold carrier density suggested the lasing should originate from electron-hole plasma recombination. Compared to the nanowires with the same length but without DBR structure, lower threshold pumping power, higher Q factor, and larger cavity finesse were achieved, owing to the high reflectivity of DBR in the designed wavelength range. The coexistence of stimulated and spontaneous emission above threshold was explained as partially confined waveguide modes in nanowires with diameters smaller than 100 nm.
9:00 AM - Z4.22
Manganese Doping Effect of High Quality ZnO Grown by Molecular Beam Epitaxy
Zheng Zuo 1 Jieying Kong 1 Muhammad Morshed 1 Jian Huang 1 Ward P. Beyermann 2 Jianlin Liu 1
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA
Show AbstractDiluted magnetic semiconductor (DMS) has been proposed for more than two decades and has attracted much attention for its potential in spintronic applications, such as logic process, memory storage, communication and quantum computation. Transition metal (TM) doped ZnO has been predicted to be a candidate of room-temperature DMS, and extensive work has been performed using various methods, including chemical reaction, sintering, ion implantation, sputtering, pulsed laser deposition, metal-organic chemical vapor deposition and molecular beam epitaxy (MBE). While not all of the methods provide high quality thin films for device applications, almost all kinds of behaviors have been reported by different groups, such as being non-magnetic, paramagnetic, ferromagnetic, antiferromagnetic, and spin-glass like. In addition, the mechanism responsible for these states is controversial. Much work is still needed to develop TM doped ZnO to serve spintronic device applications. Here we report our findings on manganese (Mn) doped ZnO single crystalline thin films grown by MBE. By using a simple low temperature buffer technique, high quality undoped and Mn doped ZnO thin films were grown on sapphire substrate. Both in-plane and off-plane magnetic anisotropy, as well as anomalous Hall effect indicate intrinsic mechanism. Very low Mn doping concentration (less than 2x10E19 cm-3) is used and strong room temperature ferromagnetism is observed. Such low doping concentration has rarely been reported and helps in both maintaining high film quality and reducing phase separations. By changing Mn doping amount while keeping other parameters unchanged we study effect of Mn doping. While morphological and electrical properties of the thin films remain minimally changed with different Mn doping, the differences in magnetic behavior is evident. Both saturation moment and coercivity field evolve with different Mn doping amount. The control of magnetic properties through different Mn doping provides possible solution for DMS thin film based devices.
9:00 AM - Z4.23
Semiconductor-metal Transition and Magnetism of ZnO Nanoplate: DFT Study
Ji Il Choi 1 Jung-Il Hong 2 Seung Soon Jang 1
1Georgia Institute of Technology Atlanta USA2Daegu Gyeonbuk Institute of Science and Technology Daegu Republic of Korea
Show AbstractUsing a GGA+U method and Density Functional Theory, we present a theoretical study for the existence of a magnetic moment in ZnO nanoplate without any extrinsic doping of magnetic impurities. Nanoplate are configured with a Zn-terminated surface and O-terminated surfaces. The surface reconstruction was considered by optimizing the structures. Using GGA PBE, we calculated the spin density of states for both spin states and individual density of states for each orbital to clarify the degree of contributions. Compared to the electronic configuration of bulk wurtzite ZnO, net spins are observed in ZnO nanoplates depending on the plate thickness, which is thought to be due to large changes in the degree of hybridization throughout the plate. As the electronic configuration of a ZnO nanoplate is converged to that of bulk ZnO with increasing plate thickness, its net spin disappears. Specifically, It is found that the net spin of the ZnO nanoplate disappears when its thickness increases beyond ~ 6 nm. In our presentation, we will discuss the change of the electronic configurations as a function of the plate thickness with a rationalization of this change.
9:00 AM - Z4.24
High Temperature Structural Phase Transformation of Wide Band Gap Oxide Semiconductor Zn2LiGaO4
Masao Kita 1 Tomoaki Fukada 1 Takahisa Omata 2
1Toyama National College of Technology Toyama Japan2Osaka University Suita Japan
Show AbstractA new quaternary oxide semiconductor Zn2LiGaO4 with a wide band gap of 4.0 eV was recently found out. It possesses wurtzite-derived structure and is the first case of oxide semiconductor found in (I-III-VI2)-(II-VI) alloy system. An incommensurately modulated ordering was pointed out in this compound; however, its crystal structure has yet to be clarified. In the present study, we directly observed the structural phase transformation of the Zn2LiGaO4 at elevated temperatures by an in-situ high temperature XRD. Room temperature XRD, selected area electron diffraction (SAED) and Raman spectra of the samples that were quenched from high temperatures to room temperature were observed and discussed with the high temperature XRD data. High temperature XRD measurements were performed in the temperature range from 1273 to 773 K with temperature step of 5 K. The fundamental diffractions attributable to the wurtzite-structure were observed for the entire temperature range, while both pseudo-hexagonal lattice parameters a0 and c0 decreased with cooling due to thermal shrinkage. This observation indicated that the wurtzite-derived structure of Zn2LiGaO4 is maintained at high temperatures. The superlattice diffractions were observed at 2theta; = 21.3 and 22.3° (Cu-Kα) above 1070 K; and another set of superlattice diffractions appeared at 2theta; = 20.7 and 22.7° when the sample was cooled below 1070 K. In the room temperature XRDs for the samples that were quenched from above 1273 K, the superlattice diffractions were extremely broadened and became a diffuse diffraction centered at 2theta; ~ 22°. This indicates that the degree of cation ordering decreases above 1273 K. Based on these observations, it was concluded that the Zn2LiGaO4 exhibits two kinds of structural transformations at elevated temperature. The one occurs around 1070 K; this corresponds to the transformation between the phase stable at low temperatures (phase I) and the phase stable at intermediate temperatures (phase II). The other occurs above 1273 K; this is the transformation between the phase II and the phase stable above 1273 K (phase III) in which the cations highly mixed. In the SAED recorded for the <-221> zone axis of the hexagonal wurtzite structure, satellite reflections observed along the [110] direction for both the phase I and phase II. The spacing of the satellite spots of the phase I and II was different from each other; the modulation vectors of the phase I and II were calculated as 1/5.2(a*+b*) and 1/4.7(a*+b*), respectively. Thus, it was concluded that three forms of the phase I, II and III exist for Zn2LiGaO4; both the phase I and II possess an incommensurately modulated ordering, but the modulation vectors of them are different from each other. In the phase III, the cations are highly mixed rather than the phase I and II.
9:00 AM - Z4.25
Hydrothermal Synthesis of ZnO Nanowires on Carbon Nanotubes and Their Field Emission Characteristics
Sang Hyun Yoon 1 Koang Ouk Choi 1 Yoon-Jae Baek 2 Won-Seok Kim 3 Chi Jung Kang 1 Young Jin Choi 1 Tae-Sik Yoon 2
1Myongji Univ Yongin-si Republic of Korea2Myongji University Yongin-si Republic of Korea3Hyosung Corporation Yongin-si Republic of Korea
Show AbstractThe carbon nanotubes (CNTs) have been rigorously investigated as field-emission (FE) emitter due to high aspect ratio with a diameter in nanometers or tens of nanometers scale while a length in micrometers scale, high electrical conductivity and thermal stability. Though the acceptable FE properties from CNTs have been demonstrated, the long-term stability of CNTs during continuous emission is still a challenging issue because of the probable damages in CNTs by residual gases during emission. The CNTs also suffer from the low and nonuniform emission from the surface defective spots. Therefore, the coating with chemically stable inorganic nanowires (NWs) such as ZnO has been studied. The ZnO nanowires (NWs) with high electron mobility, low electron affinity, and a high aspect ratio have been considered as a good FE emitter at low electric field. For these reasons, it is expected to achieve both the high FE current and long-term stability due to additional emission from ZnO NWs and protecting the surface of CNTs with ZnO NWs from the injurious reaction with residual gaseous species. In this study, we investigated the hydrothermal growth of ZnO NWs on the surface of CNTs for enhancing emission stability of CNT emitter. First, the hydrothermal synthesis behavior of ZnO NWs on CNTs was examined with respect to molar concentrations of zinc nitrate hexahydrate and hexamethylenetetramine and temperature. The ZnO NWs could be synthesized with single crystalline wurtzite structure and a diameter in the range of tens of nanometers. Their size rarely depends on the temperature in the range of 70~90 oC, but increases with increasing molar concentration from 2 to 8 mM. The NWs tend to have pyramidal shape at growth front with increasing temperature and molar concentration due to enriched Zn2+ ion concentration. It implies that the relative rates between the decomposition of Zn precursor and subsequent oxidation determines the NW&’s morphology. The ZnO NWs-coated CNTs showed stable field emission with a threshold field ~3.3 V/mu;m, enhancement factor ~3000, and the high current density ~200 mA/cm2 at 5.5V/mu;m even at the repeated measurements.
9:00 AM - Z4.26
Analysis of Electronic Subgap States in Amorphous Semiconductor Oxides on the Example of Zn-Sn-O Systems
Wolfgang Kamp;#246;rner 1 Peter Gumbsch 1 2 Christian Elsaesser 1 2
1Fraunhofer Institute for Mechanics of Materials IWM 79108 Freiburg Germany2IAM-ZBS, Karlsruhe Institute of Technology 76131 Karlsruhe Germany
Show AbstractA molecular dynamics (MD) and density-functional-theory (DFT) study of amorphous Zn-Sn-O with focus on the use as a transparent conducting oxide (TCO) material is presented. The amorphous structures generated by MD are subsequently relaxed using the local-density-approximation (LDA) of DFT. The Zn/Sn ratio, the oxygen content and individual point defects are investigated concerning their thermodynamic and electronic properties, and the results are compared to properties of crystalline phases. Defect levels in the electronic band structure of ZnO are analysed in terms of densities of states, which are calculated by means of the LDA and with a self-interaction-correction (SIC). In our study we relate the electronic subgap states, which were observed recently by Nomura et al.[1] and Erslev et al.[2] to structural features of the amorphous phases. According to our analysis the valence band tail, caused by the disordered O 2p orbitals, is superimposed by deep defect states that can be assigned to undercoordinated O atoms, which is an alternative to the assignment of those states to oxygen vacancies by Kamiya et al.[3]. Our assignment is further supported by the fact that doping with H atoms suppresses these states by creating O--H bonds, which improves the transparency and is consistent with experimental findings [1]. The deep levels below the conduction band arise mainly from undercoordinated Sn atoms or Zn--Sn pairs. The addition of oxygen is suggested as a possible route to reduce such defect levels. [1] K. Nomura, T. Kamiya, E. Ikenaga, H. Yanagi, K. Kobayashi and H. Hosono, J. Appl. Phys. 109, 073726 (2011). [2] P. V. Erslev, E. S. Sundholm, R. E. Presley, D. Hong, J. F. Wager and J. D. Cohen, Appl. Phys. Lett., 95, 192115 (2009). [3] T. Kamiya, K. Nomura, M. Hirano and H. Hosono, Phys. Stat. Sol. C 5, 3098 (2008). This research is funded by the European Commission through Contract No. NMP3-LA-2010-246334 (ORAMA).
9:00 AM - Z4.27
Study on Magnetic Properties of Doped ZnO Thin Films
Rahana Yoosuf 1 Arun Aravind 2 Jayaraj Mk 2 Matteo Chiesa 1 Marco Stefancich 1
1Masdar Institute of Science amp; Technology Abu Dhbai United Arab Emirates2Cochin University of Science And Technology Kochi India
Show AbstractWe report the magnetic property studies carried out using the van der Pauw four probe method on doped ZnO thin films deposited by pulsed laser deposition. ZnO thin film samples doped with different concentration of manganese have been investigated under varying magnetic field in different temperatures and compared with similar ZnO films with no transition metal dopants. It has been found that the magnetoresistance of manganese doped ZnO films can be positive, negative or a combination of the two due to the opposing mechanisms dominating in different fields. The pure ZnO has not shown any magnetoresistance. The 2% manganese doped samples with carrier concentration of around 10E19 showed a positive magneto resistance of 3% at low temperature in a field of 1 Tesla while for a 6% manganese doped sample with a much lower carrier concentration of 10E17 , the magnetoresistance had a negative value. In this study, we will be further investigating the possible origin of magnetic properties in this system and the contribution of carried density to the magnetoresistance.
9:00 AM - Z4.28
Copper Doped ZnO Thin Film for Ultraviolet Photodetector with Enhanced Photosensitivity
Akshta Rajan 1 Kashima AroraHarish Kumar Yadav 2 Vinay Gupta 1 Monika Tomar 3
1University of Delhi Delhi India2St. Stephens College, University of Delhi Delhi India3Miranda House, University of Delhi Delhi India
Show AbstractDetection of ultra violet (UV) radiations is becoming very important in various commercial, military and scientific arenas, which has made ultraviolet photodetectors reasonably an interesting field. In recent years, besides GaN which is well established commercial material for detection of UV rays, ZnO in the form of nanostructures and thin films have gained interest and proved to be advantageous material for selective UV photodetection, as well as solar blind UV detectors due to wide band gap (~3.3 eV), short carrier lifetime, large exciton binding energy (~60 meV), ease of fabrication of thin films by various deposition techniques, radiation hardness, low cost synthesis etc. Photoconductivity in ZnO involves adsorption and desorption of oxygen molecules leading to the process of trapping and de-trapping of holes at the ZnO surface. Photoconducting property in ZnO lattice can be improved by introducing defects within the band gap by intentionally doping foreign metals such as Al, N, Te, Li and Cu at Zn lattice site. In the present study, ZnO and copper (cu) doped ZnO thin films have been fabricated by chemical solution deposition technique on platinum interdigital electrodes (IDEs) patterned on corning glass substrates. Cu is chosen as a dopant since it is known to alter the defect profile of ZnO thin film to a great extent. Structural and optical properties of the films have been investigated using X-Ray diffraction (XRD), UV-Visible spectrophotometer and Scanning Electron Microscopy. Steady state photoresponse of the deposited pure and Cu doped ZnO thin films were measured at a bias of 5 V by illuminating the samples using an UV lamp (lambda; = 365 nm, intensity = 24 µwatt/cm2) as a radiation source. The photoresponse transients were taken from semiconductor characterization system Keithley 4200 SCS. XRD studies show the polycrystalline nature of pure and cu doped ZnO thin films. ZnO thin film was found to be preferred oriented with c-axis normal to the substrate. The c-axis orientation was found to reduce after incorporating cu in ZnO lattice. All the films were found to be transparent (> 80%) in the visible region. Band gap for ZnO thin film was estimated to be 3.27 eV which got reduced to 3.25 eV on doping cu (1.3 at.wt%). Cu dopant in ZnO film is known for creating deep acceptor level which is responsible for decrease in concentration of electron in the conduction band, resulting in significant decrease in the value of dark current (10-7 A to 10-9 A). On UV illumination, a high value of photocurrent of 4.1Chi;10-5 A and 2.2Chi;10-5 A was found for the pure and cu doped ZnO thin film. As a result, a high photoconductive gain of 1.5Chi;104 is achieved for cu doped ZnO thin film based photodetector in comparison to pure ZnO thin film (4.9Chi;101). Fast response and recovery speeds were also observed for cu doped ZnO thin film UV photodetector which makes this material suitable and attractive for the realization of practical UV photodetector.
9:00 AM - Z4.29
Effects of Ga Doping and Nitridation on ZnO Films Prepared by RF Sputtering
Takumi Araki 1 Jun-ichi Iwata 1 Hiroshi Katsumata 1
1Meiji University Kawasaki Japan
Show Abstract1. Introduction ZnO is an interesting wurtzitic semiconducting material with a wide band-gap of 3.3 eV and it has a large exciton binding energy of 60 meV. It has been reported that the optical band-gap of Zn1-xGaxO thin films can be engineered from 3.3 to 4.9 eV by varying the Ga content[1]. Moreover, the reduction of the optical band-gap down to 2.4 eV has been observed from (ZnO)x(GaN)1-x powders with x = 0.81[2]. From these results, we believe that the band-gap of GaZnON materials can be widely controllable from 2.4 to 4.9 eV. The purpose of this study is to produce GaZnON thin films by RF sputtering using multiple targets consisting of small pieces of Ga2O3 tablets placed on ZnO targets and by subsequent heat treatments in NH3 atmosphere. Optical, structural and electrical properties of these films are presented. 2. Experimental ZnO thin films were deposited on p-Si(100) substrates by RF magnetron sputtering using a ZnO target at 1.0 x 10-2 Torr in constant N2 (2 sccm) and Ar (8 sccm) flow. Ga doping was performed by placing two or four pieces of Ga2O3 tablets on the ZnO target. The films were subsequently annealed with one- to multiple-step process in O2 and/or N2 with an infrared gold image furnace and/or in NH3 with a conventional electric tube furnace. The annealings in O2 and N2 were performed at temperatures between 700 and 900 °C for 60 min, while those in NH3 were performed in the range of 450 to 850 °C for 60 min. These samples were examined by Photoluminescence (PL), X-ray Diffraction (XRD) and Hall effect measurement as well as optical absorption spectral measurements. 3. Results The PL spectra of undoped ZnO films annealed at 800 °C in O2 showed an emission peaked at 384 nm, which originates from recombination of free excitons, while those of ZnO films doped with Ga2O3 exhibited much higher emission at around 384 nm. As the number of Ga2O3 tablets increased from 0 to 4, the PL peak shifted to shorter wavelengths and XRD peak of ZnO(002) shifted to lower angles, which indicates that both the band-gap and lattice constant were increased by formation of Zn1-xGaxO compounds. However, FWHM of the XRD peak of ZnO(002) was broadened with increasing the number of Ga2O3 tablets, which means that grain size of Zn1-xGaxO decreased. On the other hand, in PL spectra for ZnO films doped with Ga2O3 and subsequently annealed at 750 °C in NH3 atmosphere, PL peak appeared at 406 nm, which was remarkably red-shifted of about 0.22 eV in comparison with the case of undoped ZnO films. It would be caused by nitridation because the band-gap of (Ga1-xZnx)(N1-xOx) is theoretically predicted to decrease with increasing x up to 0.5[3]. From these results, we believe that GaZnON was successfully produced by nitridations and thus the band-gap narrowing took place. [1] Junliang Zhao et al., IEEE Trans Electron Devices, 56, 2995 (2009). [2] Junpeng Wang et al., J. Mater. Chem., 21, 4562 (2011). [3] Lin Lin Jensen et al., J. Phys. Chem. C, 112, 3439 (2008).
9:00 AM - Z4.30
Enhancement and Spectral Modification of Photoluminescence in ZnO/Ag Thin Films via Grating-coupled Surface Plasmons
Minji Gwon 1 Eunsongyi Lee 1 Dong-Wook Kim 1 Dahyun Nam 2 Hyeonsik Cheong 2
1Ewha Womans University Seoul Republic of Korea2Sogang University Seoul Republic of Korea
Show AbstractSurface plasmonics has fascinated a great amount of interest in the past decade due to the ability to enhance the localized electromagnetic field and opening paths to foresee emerging optoelectronic devices. Surface plasmon polaritons (SPP) is originated from resonant interaction between the surface charge oscillations and the electromagnetic waves at the metal/dielectric interface. Periodic nanostructures can bridge the SPP-photon momentum gap and excite grating-coupled SPP modes at specific photon energies. It is interesting to investigate how the grating structures can influence SPP-photon coupling and resulting optical properties of ZnO/Ag layers. ZnO/Ag thin films were deposited on Si substrates with one-dimensional grating structures (period: 500 nm) fabricated by electron beam lithography and dry etching processes. The ZnO/Ag grating structures exhibited multiple peak features in visible-range photoluminescence (PL). Whereas a ZnO/Ag planar thin film showed two broad PL peaks in UV and visible region. These results could be understood as a result of SPP-exciton interaction. The grating structures also exhibited quite distinctive features in reflectance from the planar samples. There were several reflectance dips, which were caused by photon-induced SPP excitation via the grating coupling. Finite-difference time-domain simulations supported all the experimental results.
9:00 AM - Z4.31
Combined Excitation-emission Spectroscopy Study on Eu3+ Luminescent Centers in Eu-doped ZnO Grown by Sputtering-assisted Metalorganic Chemical Vapor Deposition
Takahiro Tsuji 1 Yoshikazu Terai 1 Wei Miao 1 Volkmar Dierolf 2 Yasufumi Fujiwara 1
1Osaka University Suita, Osaka Japan2Lehigh University Memorial Drive East, Bethlehem USA
Show AbstractEu-doped ZnO (ZnO:Eu) is one of promising materials for an active layer in thin-film electroluminescent (EL) devices. We have grown ZnO:Eu by sputtering-assisted metalorganic chemical vapor deposition (SA-MOCVD) and succeeded in the observation of red emission due to the 5D0-7F2 transition of Eu3+ ions under optical pumping [1]. In photoluminescence (PL) spectra under the excitation above bandgap energy (indirect excitation: lambda;ext.= 266 nm), the emission due to a Eu3+ center assigned as “Ind.” was observed at 2.02 eV. On the other hand, different emissions due to Eu3+centers assigned as D1-D4 were dominant under the excitation resonant to the 7F0-5D3 transition (direct excitation: lambda;ext.= 405 nm). In this contribution, we investigated directly Eu3+ centers by combined excitation-emission spectroscopy (CEES). ZnO:Eu films with a thickness of ~850 nm were grown on c-plane sapphire substrates at 550 °C by SA-MOCVD. After the growth, the films were annealed at 600 °C for 0.5 h in O2 ambient. The samples showed c-axis orientation and the Eu concentration was 9.0 x 1019 cm-3. In PL measurements, a frequency-quadrupled Nd:YAG laser operating at 266 nm and a dye laser operating at 574-584 nm were used for the indirect excitation and direct excitation resonant to the 7F0-5D0 transition, respectively. The PL measurements were performed at 10 K. Under the indirect excitation, a strong emission line from the “Ind.” center was observed at 2.02 eV (614 nm). On the other hand, four emission lines of D1-D4 were dominant under the direct excitation (2.140 eV (579.5 nm)). In CEES measurements, the “Ind.” emission was observed at the excitation energy of 2.129 eV (582.5 nm). However, the PL intensity was much weaker than that of the D1-D4 emissions. On the first sight, this result suggests that the number of the “Ind.” center is very small. However, the weak PL under direct excitation could also be due to a higher symmetry of the “Ind.” center and a resulting lower transition probability of the spin-forbidden 7F0-5D0 excitation transition, as observed for the majority Eu3+ center in GaN [2]. In the PL excitation spectra for the D1-D4 emissions, distinct differences can be observed. For example, the D2 emission line was observed at the excitation energy of 2.153 eV (576 nm), 2.140 eV, and 2.131 eV (582 nm), while the D4 line was observed only at 2.140 eV. The result shows clearly that there are several types of Eu3+ ions with different local structures in ZnO:Eu. [1] Y. Terai et al., Physica E 42, 2834 (2010). [2] N. Woodward et al., Opt. Mater. 100, 33 (2011).
9:00 AM - Z4.32
An ab initio Study on the Electronic Structure and Lattice Parameters of Wurtzite ZnMgO Alloys
Marcel Giar 1 Christian Franz 1 Markus Heinemann 1 Christian Heiliger 1
1Justus-Liebig-University Giessen Germany
Show AbstractWe investigate the structural parameters and electronic structure of the ternary alloy Zn1-xMgxO by first principles and combine several approaches for the solution of the DFT Kohn-Sham equations including the LDA-PAW- and the KKR-method. A supercell approach taking fully into account alloy statistics is employed to conduct cell relaxation calculations for three different growth models: (1) c-plane growth by keeping the lattice parameter a fixed in the basal plane, (2) complete cell relaxations, and (3) a-plane-growth. All results show an excellent qualitative agreement with experimentally determined lattice parameters [1]. Further we use the Coherent Potential Approximation (CPA) within the KKR-scheme to explicitly account for disordered alloys. We present bandstructures and effective masses for different Mg concentrations and investigate the bandgap bowing. The results from both approximations to alloy structures are compared. [1] T. A. Wassner, B. Laumer, S. Maier, A. Laufer, B. K. Meyer, M. Stutzmann, M. Eickhoff, J. Appl. Phys. 105, 023505 (2009)
9:00 AM - Z4.33
Fabrication and Application of p-type ZnO Nanorods Grown by Aqueous Solution Method in Ultraviolet Light Emitting Diodes
Xuan Sang Nguyen 1 Chuan Beng Tay 2 Jie Tang 2 Eugene Fitzgerald 3 Soo Jin Chua 1 2 4
1National University of Singapore Singapore Singapore2National University of Singapore Singapore Singapore3Massachusetts Institute of Technology Cambridge USA4Agency for Science, Technology and Research Singapore Singapore
Show AbstractZnO, a large and direct band-gap material, is potential in light emitting application. However, application of ZnO was hampered by difficulty in obtaining stable and high carrier mobility p-type ZnO. Recently, we have published a few papers on p-type ZnO fabrication by doping potassium using aqueous solution method. This work explains how potassium stays in ZnO lattice and effect of doping potassium on structure of ZnO. Using p-type ZnO obtained by doping potassium, application of ZnO in light emitting was demonstrating through p-type ZnO nanorods/GaN film LED and ZnO homojunction nanorods core-shell LED structures. Operation of these LED to confirm the p-type carrier of potassium doped ZnO. The LEDs demonstrate a rectifying I-V characteristic with a turn-on voltage of 2.7V and 3.35 V, respectively. Electroluminescence (EL) of these LEDs is also obtained. The EL spectra of two LEDs contains an ultraviolet peak located at 372nm and a wide visible peak located in the range of 500-580nm.
9:00 AM - Z4.34
Photoluminescence of Zn2TiO4 and ZnTiO3 by the Polymeric Precursors Method
Camila Soares Xavier 1 Cesar Foschini 2 Ana Paula de Moura 3 Josamp;#233; Arana Varela 4 Elson Longo 5 Sergio Cava 6
1UFPel Pelotas Brazil2UNESP Araraquara Brazil3UNESP Araraquara Brazil4UNESP Araraquara Brazil5UNESP Araraquara Brazil6UFPel Pelotas Brazil
Show AbstractZinc titanates are promising candidates as dieletric materials [1-3]. It has been reported that three compounds exist in ZnO-TiO2 system, including Zn2TiO4 (cubic), ZnTiO3 (hexagonal), and Zn2Ti3O8 (cubic) [4-6]. In this present work, the synthesis of Zn2TiO4 and ZnTiO3 powders of perovskite structure were studied using the polymeric precursors method. This method, also known as the Pechini method, has many advantages such as chemical homogeneity of multicomponents at the moleculas scale and a direct and precise control of stoichiometry in complex systems at relatively low temperatures. The reagents used in the synthesis of the tintanates were citric acid, ethylene glycol, zinc nitrate and tin citrate. After of the first calcination (350 oC/ 2h) the powders were analyzed by thermogravimetry (TG)/ differential thermal analysis (DTA) where the results indicate two thermal decomposition steps. The first can be attributed to the loss of water and some gases adsorbed on the powder surface and the second step refers to organic matter decomposition, as well as to carboxyl groups bonded to metals. After the heat treatment between 600 and 800 oC, the powders characterized by X-ray diffraction(XRD) where the pattern indicate that ZnO coexists with ZnTiO3 and Zn2TiO4. It can be observed from these patterns that the intensity of ZnO decrease with the synthesis temperature increase. The spectrum in the infrared region (IR) presents broad bands at about 460 cm-1 related to the metal-oxygen stretching and at 3425 cm-1 related to water. From the FEG-SEM images can be observed a coalescence process between the particles with the synthesis temperature increase. Photoluminescence (PL) measurements of the powders exhibited orange photoluminescence emission when excited by 350 nm wavelength at room temperature. This property optical can be related with distortions on the [TiO5] clusters. [1] HAGA K., ISHII T., MASHIYAMA J., IKEDA T., Japan. J. Appl. Phys., 31 (1992), 3156. [2] KIM H.T., BYUN J.D., KIM Y., Materi. Res. Bull., 33 (1998), 963. [3] KIM H.T., KIM S.H., NAHM S., BYUN J.D., J. Am. Cer. Soc., 82 (1999), 3043. [4] DULIN F.H., RASE D. E., J. Am. Cer. Soc., 43 (1960), 125. [5]CHANG Y.S., CHANG Y.H., CHEN I.G., CHEN G.J., CHAI Y.L., WU S., FANG T.H., J. Alloys Comp., 354 (2003), 303. [6] KIM H.T., NAHM S., BYUN J.D., KIM Y., J. Am. Cer. Soc., 82 (1999). 3476.
9:00 AM - Z4.35
Near Surface Doping of ZnO with Au by Ultrafast Microwave-assisted Route: Hybrids with Excellent Activity towards CO Oxidation
Paromita Kundu 1 Nisha Singhania 1 Giridhar Madras 2 N. Ravishankar 1
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractNanoscale semiconductor-based metal hybrids have emerged as versatile materials for nanoelectronics, nanobiotechnology, catalysis and photovolatics. The performance of the hybrid in all the above cases depends significantly on the metal-semiconductor interface, metal particle dispersion on the semiconductor, size and shape of both the counterparts. Besides, for biological applications dispersibility in aqueous phase, clean surface and low cytotoxicity of the material is highly desired. The common strategy of tethering metal nanoparticles to semiconductor base involves use of molecular linkers and surfactants to control the morphology of the hybrid. Hence the rigorous post treatment reduces the yield and utility of the hybrid and linkers prevent obtaining a clean interface that alters the efficiency of the material to a large extent. We demonstrate an ultrafast microwave assisted route for ZnO based metal hybrids synthesis in aqueous medium with precise control over the distribution and size of the metal nanoparticles in absence of any surfactant/capping agent. The microstructural and morphological charaterization of the nanohybrid is carried out using advanced microscopic techniques like HAADF-STEM and HRTEM. The resulting hybrid shows a uniform distribution of sub 2 nm Au nanoparticles on ZnO nanorods. The loading of the metal nanoparticles can be tuned by changing the precursor concentration and specific nucleation at the basal plane of the ZnO nanorods could be achieved. We investigated the thermodynamic and kinetic aspects of site-specific nucleation of Au nanoparticles on the prismatic ZnO nanorods. Direct heterogeneous nucleation of the metal ensures a clean interface which is expected to enhance the electron transport kinetics at the junction which are crucial for optoelectronic, catalytic and sensing properties of the hybrid. A detail x-ray photoelectron study (XPS) of the hybrids indicates the surface doping of ZnO with Au leading to Au-Zn and Au-O bond formation. This has implications towards the modification of the electronic structure of ZnO and presence of non-metallic Au sites. We investigated the role of the ZnO-Au catalyst for CO oxidation and it shows an excellent activity and lower activation barrier. It confirms that the presence of ionic Au sites on ZnO surface, as confirmed by XPS, contributes significantly to the enhanced catalytic activity of the hybrid.
9:00 AM - Z4.36
Characterization of Thin ZnO Films by Vacuum Ultra-violet Reflectometry
Thomas Gumprecht 1 2 Peter Petrik 1 3 Martin Schellenberger 1 Lothar Pfitzner 1
1Fraunhofer Institute for Integrated Systems and Device Technology IISB Erlangen Germany2Erlangen Graduate School in Advanced Optical Technologies (SAOT) Erlangen Germany3Institute for Technical Physics amp; Materials Science (MFA), Research Centre for Natural Sciences Budapest Hungary
Show AbstractVacuum Ultra-Violet (VUV) reflectometry is a new method for the optical characterization of thin dielectric films used in the semiconductor manufacturing. The extension of the measurement wavelength down to 120 nm, which is equivalent to the photon energy of 10.3 eV, enables the excitation of interband transitions for important dielectric films applied in semiconductor manufacturing, e.g. SiON, SiO2, Al2O3, HfO2 which makes the measurement sensitive to the absorption properties of the respective material. This feature enables a highly sensitive measurement of film thickness and optical properties for ultra-thin dielectric layers (< 10 nm) and the determination of material volume fractions in composite thin films. Furthermore, VUV reflectometry is also highly sensitive to the surface contamination (airborne molecular contamination - AMC) which can be removed by the high exposure energy and high intensity VUV radiation. In this paper highly sensitive measurements of various intrinsic and Ga-In-doped ZnO (GIZO) structures are presented. The layer thicknesses and the dielectric functions of both ZnO/Al2O3 multilayers as well as GIZO compositions are investigated. The layer properties including a nanoscale surface roughness, lateral and vertical inhomogeneities, as well as the dielectric function and the related band-structure including the band gap are determined using the fast optical method of reflectometry. Ellipsometry and X-ray photoelectron spectroscopy are used as cross-checking methods. The study was performed on a production worthy VUV reflectometer for 200 mm and 300 mm silicon wafers. For the optical analysis an effective medium approximation model was used to calculate the dielectric function and the related Ga and In concentration as well as the layer thickness. The results reveal the capabilities of reflectometry, including its speed (less than 10 seconds per point).
9:00 AM - Z4.37
Morphologies of ZnO and ZnO:Al Grown on a-plane GaN/r-plane Sapphire Substrates
Yi-Sen Shih 1 Li Chang 1 Jr-Yu Chen 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractZinc oxide (ZnO) is a very attractive material for a huge variety of promising applications due to its wide direct band gap of 3.37 eV with large free exciton binding energy (60 meV). ZnO/GaN heterojunction has been suggested as a strong candidate for device applications, since both have wurtzite crystal structure with small lattice mismatch and similar thermal expansion [1]. In this study, 3 mu;m thick a-plane GaN template on r-plane sapphire was grown using the metal-organic chemical vapor deposition (MOCVD) method. Trimethylgallium (TMGa) and ammonia (NH3) were used as the precursors for Ga and N. The morphologies of a-plane GaN had been observed to be 3D islands with several inclined facets. The a-plane and inclined semipolar GaN facets provide a proper template to explore further growth of ZnO in nonpolar and semipolar orientations. We have grown ZnO and ZnO:Al on GaN by MOCVD using zinc acetylacetonate (Zn(acac)2) and aluminum acetylacetonate (Al(acac)3) as precursors with O2 gas at 500°C for 1.5 hours. The precursors were heated to 170°C and carried by N2 flux. The ratio for N2/O2 was kept at 0.25. X-ray diffraction patterns show that ZnO and ZnO:Al on GaN exhibit (11-20) reflections only. Observations of scanning electron microscopy and atomic force microscopy reveal that ZnO and ZnO:Al grown on semipolar GaN facets exhibit 3D island growth mode. These islands are aligned with the direction of [1-100]GaN, to form similar stripe-like surface morphologies for both ZnO and ZnO:Al on a-plane GaN template. Also, it is observed that the surface of ZnO:Al is smoother than ZnO. [1] Park W I and Yi C G Adv. Mater. 16 87(2004)
9:00 AM - Z4.38
Sputter Deposited ZnO Porous Films for Sensing Applications
Michal A. Borysiewicz 1 Elzbieta Dynowska 1 2 Valery Kolkovsky 2 Maciej Wielgus 1 3 Krystyna Golaszewska 1 Marek Ekielski 1 Przemyslaw Struk 4 Tadeusz Pustelny 4 Eliana Kaminska 1 Piotrowska Anna 1
1Institute of Electron Technology Warsaw Poland2Institute of Physics, Polish Academy of Sciences Warsaw Poland3Warsaw University of Technology, Institute of Micromechanics and Photonics Warsaw Poland4Silesian University of Technology Gliwice Poland
Show AbstractEvery year, solid-state sensors gain widespread use due to their versatility, ease of tailoring by functionalisation and cost-competitiveness. The heart of such a sensor is usually some volume of material to which the sensed species are absorbed. An enhanced surface to volume ratio in the sensing part of such a device can substantially improve their detection performance. The enhancement may be fabricated either though a top-down (dry or wet etching) or bottom-up (nanostructure growth) approaches, the latter of which includes the growth of a wide family of structures from the more common nanowires and nanopillars to more exotic flower-like structures. In this report we focus on a cost-effective way of producing a highly porous dendrite-like ZnO film using a method enabling fast coating of large areas for potential large-scale applications, i.e. sputtering. Thin ZnO films were deposited using a two step approach. First a porous polycrystalline Zn film was grown by means of reactive magnetron sputtering of Zn targets in an Ar-O2 atmosphere. The O2 concentration in the mixture was low, at below 20% and the total pressure in the system was 3 mtorr. The structure of the film was independent of the substrate used, which included BK7 glass, silicon (100) and (111) and sapphire (0001). Subsequently an RTP annealing in O2 flow was applied, at temperatures between 400oC and 800oC for 15 minutes, which fully transformed the Zn polycrystals to ZnO ones. The crystalline structure of the films was studied using X-ray diffraction, their morphology was determined using Scanning Electron Microscopy imaging and the transmission properties were sensed in the VIS-UV range using an ellipsometer in transmission mode. The porosity of the films was determined from the SEM images using image processing techniques. The transmission of the films is above 90% for wavelenghts greater than 400 nm and changes with deposition time for lower wavelenghts - the longer the deposition time, the lower the transmission in the absorption part of the spectrum. This enables to conclude that the film nucleates in isolated islands which coalesce in time, covering the remaining visible glass substrate. The applicability of the films in sensing applications was confirmed in two configurations. First, as a resistive detector for alcohol sensing, where the discrimination between methanol and ethanol was possible using simple IV measurements and second, using an optical transmission measurement cell where the changes in transmission were registered at different wavelengths upon the introduction of NH3, NO2 and H2 gases into the cell. Work on further applications of the porous films, eg. in dye-sensitized solar cells, is under way. This study was partially supported by the European Union within European Regional Development Fund, through grant Innovative Economy (POIG.01.01.02-00-008/08 "Nanobiom").
9:00 AM - Z4.39
Electrically Pumped Random Laser Based on Nitrogen Doped p-type ZnO Nanowires
Jian Huang 1 Sheng Chu 1 Jieying Kong 1 Long Zhang 2 Casey Schwarz 3 Guoping Wang 1 Leonid Chernyak 3 Zhanghai Chen 2 Jianlin Liu 1
1UC Riverside Riverside USA2Fudan University Shanghai China3University of Central Florida Orlando USA
Show AbstractAmong the various kinds of materials for random lasing, ZnO is a promising material owing to its direct band gap and large exciton binding energy of ~60meV at room temperature. Besides, its one dimensional structure, which can be synthesized by various low cost methods, is an excellent optical cavity for random lasers. Many attempts have been made to induce lasing behavior in ZnO nanowire-based devices. Nevertheless until now, most of the random lasers based on ZnO nanowires are induced by optical pumping. For practical laser applications, electrical pumping is needed. Electrically pumped ZnO nanowire random lasers have been demonstrated based on ZnO MIS structures and heterojunctions, while nanowire random laser diode based on ZnO p-n homojunction, which will be critical toward future ultraviolet laser applications, has not been reported yet. Here, we report an electrically pumped ZnO homojunction random laser based on nitrogen doped p-type ZnO nanowires. The nitrogen doped p-type ZnO nanowires were grown on n-type ZnO thin film on Si substrate using CVD. The p-type behaviors were studied by Id-Vd and Id-Vg characteristics of the nanowire back-gated FET and low temperature photoluminescence. The formation of the p-n junction was confirmed by the I-V characteristics and EBIC method. The lasing behaviors were studied by using both optical pumping and electrical pumping. Above the threshold pumping power/current, random lasing actions featuring a series of lasing peaks in the spectra were observed. The output power of the electrically pumped laser was measured to be 70 nW at a drive current of 70 mA. The angle dependant electroluminescence of the device was also studied.
9:00 AM - Z4.40
Structural and Electronic Properties of Highly c-axis-Oriented Nanocolumnar ZnO Films
Adriana Rodrigues 1 Jonder Morais 1 Maria do Carmo Martins Alves 1
1UFRGS Porto Alegre Brazil
Show AbstractZinc oxide (ZnO) is a wide direct band gap (3.37 eV) semiconductor with large exciton binding energy (60 meV). Its electrical, optical, photocatalytic, piezoelectric and chemical stability properties make it a promising functional material for several applications, such as field emitters, catalysts, photovoltaic and biosensors. ZnO nanostructures with different morphologies have been synthesized and their properties have been studied intensively in the literature. Particularly in the case of columnar-like structures, their unique, defined geometry provides remarkable range of potential applications. In this work, we developed and characterized ZnO nanostructured films deposited on different substrates (glass, Si(111) and stainless steel) using the hydrothermal method, a simple and relatively low cost method, which once optimized, provides high quality morphologically controlled ZnO. The influence of the synthesis parameters in the final properties of the films will be discussed. The structural investigations by x-ray diffraction (XRD) and high resolution transmission electron microscopy (HRTEM) revealed that the typical as-synthesized ZnO films consists of self-assembled nanocolumns (80 nm diameter wide and 3 µm long) presenting the typical hexagonal wurtzite structure of ZnO, that grow along the [0001] direction. X-ray photoelectron spectroscopy (XPS) analysis confirmed a significant presence of Zn-OH bonds at the surface, while photoluminescence (PL) measurements showed emission in both ultraviolet and visible ranges. [1] Ye Sun, D. Jason Riley, and Michael N. R. Ashfold. J. Phys. Chem. B 110 (2006). [2] Kun Yang, Guang-Wei She, Hui Wang, Xue-Mei Ou, Xiao-Hong Zhang, Chun-Sing Lee and Shuit-Tong Lee. J. Phys. Chem. C 113 (2009).
9:00 AM - Z4.41
Optical Absorption Enhancement of Periodic Silver Nano Structures in Al Doped ZnO Thin Film
Juhyung Yun 1 Joondong Kim 2 Mingeon Kim 2 3 Hyunyup Kim 2 3 Junsin Yi 3 Hossein Shokri Kojori 4 Sung Jin Kim 4 Chong Tong 1 Wayne A. Anderson 1
1University at Buffalo Buffalo USA2Korea Institute of Machinery and Materials (KIMM) Daejeon Republic of Korea3Sungkyunkwan University Suwon Republic of Korea4University of Miami Coral Gables USA
Show AbstractZnO has been widely studied for optoelectronic applications such as light emitting, lasing and sensing devices working in the ultraviolet spectrum because of its wide and direct bandgap and high exciton binding energy. Today, nanotechnology enables intensive research about Plasmonic effects especially in sub-wavelength sized metal-dielectric structure which enhance the light absorption and emission significantly. In this work, the combination of ZnO and metal nanostructures was intensively studied for the photodetector. Optically and electrically superior aluminum doped ZnO (AZO) films were grown on the silicon substrates by a co-sputtering method which is a combination of RF sputtered ZnO and DC sputtered Al. Using the wafer scaled nanoimprint method, periodic silver nanodisks and nanodomes were patterned on thin AZO/Si and glass substrates with 5, 10, 20, and 100 nm height and 300 nm of diameter having 500 nm of periodicity. To enhance electrical conductivity, AZO was overlayed with 300 nm of thickness forming a sandwich-like structure and optically characterized layer by layer. As the height of silver nanostructures increased, distinctive double absorption peaks appeared in the visible range at 550 nm and 700 nm of wavelength. Annealing at 250 oC of thin Ag films of 5 and 10 nm thickness gave shaped random Ag nanodots ranging 30~50 nm in diameter. Random Ag dots were positioned on the AZO and glass templates and compared with periodic Ag structures in terms of optical and electrical properties. Electrically thin AZO and silicon heterojunctions shows good rectifying characteristics. With this AZO/Si structure, a vertical structured photodiode was fabricated with back and front Ohmic contact using Al. Different nano-photonic systems combined with AZO were studied as photodiodes. Under the illumination of 89 mW/cm2 using a 300 W halogen lamp, the Ag nanodisk structure showed 253.6 mA/cm2 of photocurrent at the bias of -3 V which indicated 1,012 of on-off current ratio. Similarly, 118.8 mA/cm2 of photocurrent was observed from the Ag nanodome structure at the same illumination and bias conditions resulting in 1,764 of on-off current ratio. Such high photocurrent collection was attributed to the stable junction formed between ZnO and Si and efficient light management of the nanostructured silver array inside of the ZnO.
9:00 AM - Z4.43
Zinc Oxide Nanowire Based UV Photodetectors
Sahin Coskun 1 Emre Mulazimoglu 1 Aysegul Afal 1 Husnu Emrah Unalan 1
1Middle East Technical Univesity Ankara Turkey
Show AbstractDue to unique properties of bulk zinc oxide (ZnO) its nanostructures especially nanowires have gained much attention. In recent years, the synthesis of ZnO nanowires started to be investigated in detail with the demonstrated successful applications of them in photodetectors, light emitting diodes, lasers and nanosensors. Among all ZnO nanowire synthesis methods, hydrothermal approach is the simplest and the most cost effective one. In this method, nanowires can be grown reproducibly over large areas on any type of substrate at low temperature and atmospheric pressure. In this study, silver nanowires were coated onto glass substrates in order to serve as transparent conductor. Process followed by the formation of a gap by mechanical means. ZnO nanowires were then hydrothermally grown within this gap as the active materials for the detection of ultraviolet (UV). Since both ZnO and Ag nanowires were synthesized through solution based methods, this approach led to a fully operating UV photodetector that can be fabricated through all solution based methods in a cost-effective way. The photodetectors with 1.2 mu;m ZnO nanowire length exhibited a recovery time and on/off ratio of 4 seconds and 2600, respectively. Our results reveal the potential of nanowires-nanowire heterojunctions to be used in various other photonic devices. The fabrication and UV photodetector characteristics of as produced nanowire based devices will be presented.
9:00 AM - Z4.44
Organometallic Route to Surface-modified ZnO Nanoparticles Suitable for In situ Nano-composite Synthesis: Bound Carboxylate Stoichiometry Controls Particle Size or Surface Coverage
Charlotte Williams 1 Katherine Orchard 1 Milo Shaffer 1 Jonathan Weiner 1
1Imperial College London United Kingdom
Show AbstractWell-defined, organically-modified ZnO nanoparticles were prepared via an efficient hydrolysis route, without the need for surfactant co-ligands, washing or size-selection steps. The products have a narrow size distribution and are soluble in organic solvents. The synthesis involves reacting a mixture of alkylzinc carboxylate complex and excess diethylzinc with water to yield carboxylate-capped ZnO nanoparticles. Varying the ratio of the different organometallic species enables control of either size or degree of surface modification. The method is conveniently compatible with cross-linking resin chemistries, and is applied for the in situ preparation of organically-modified ZnO polymer nanocomposites. A variety of hierarchical composites have been synthesised by combining carbon nanotubes or pre-prepared, sub-micron ZnO particles with ZnO nanoparticles, grown in situ. The mechanical and functional properties of these materials have been tested in order to assess the improvements in physical properties that arise from synergy between fillers of different length-scales.
9:00 AM - Z4.47
Effects of Different Growth Factors on MgZnO Thin Films Grown by RF-plasma Assisted MBE
Muhammad Monzur Morshed 1 Sheng Chu 1 Jianlin Liu 1
1U C Riverside Riverside USA
Show AbstractZinc oxide (ZnO) with a bandgap of 3.3eV at room temperature and its ternary alloys magnesium zinc oxide (MgZnO) and cadmium zinc oxide (CdZnO) have attracted much attention due to their possible applications in optoelectronics, thin film transistors, transparent electrodes etc. In this presentation, we report effects of different growth factors on the growth of MgZnO thin films. High quality wurtzite MgZnO thin films were grown on c-sapphire (0001) substrate by RF plasma assisted MBE. It was found that, the pre-growth of high quality ZnO buffer layer is important for avoiding phase mixing of wurtzite and cubic MgZnO to achieve high structural quality of MgZnO. As the growth temperature increases, the incorporation of Mg in the film tends to increase. Phase mixing starts to occur beyond a certain growth temperature. Moreover, with increasing oxygen flow rate, the incorporation of Mg tends to decrease. This research provides a successful recipe of high-quality MgZnO thin film growth for further ZnO-based optoelectronic applications.
9:00 AM - Z4.48
Properties of Sputter Deposited p-type ZnO Film Co-doped with Lithium and Phosphorus
Tom Oder 1 Andrew Smith 1 Mark Freeman 1
1Youngstown State University Youngstown USA
Show AbstractThin films of ZnO co-doped with lithium and phosphorus were deposited on sapphire substrates by RF magnetron sputtering. The films were sequentially deposited from ultra pure ZnO and Li3PO4 solid targets. Post deposition annealing was carried using a rapid thermal processor in N2 and O2 at temperatures ranging from 400 °C to 900 oC for 3 min. Analyses performed using low temperature photoluminescence spectroscopy measurements reveal several luminescence peaks at 3.36, 3.35, 3.32, 3.11and 2.33 eV whose relative intensities vary with annealing environments and temperatures. We will discuss the origins of these luminescence peaks and their relevance to p-type doping of ZnO films. The x-ray diffraction 2theta;-scans for all the films showed a single peak at about 34.4o with FWHM of about 0.17o. Hall Effect measurements revealed conductivities that change from p-type (with concentration up to about 1.3 x 1017 cm-3) to n-type (with concentration up to about 1.5 x 1019 cm-3) as the annealing temperature is increased to 900 oC.
9:00 AM - Z4.50
Growth of CdxZn1-xO Nanorods and Their Applications on Visible Wavelength Light Emitting Diodes
Sheng Chu 1 Siyu Zhang 1 Jingjian Ren 1 Jieying Kong 1 Jianlin Liu 1
1University of California Riverside Riverside USA
Show AbstractIn this presentation, we report our new development in the family of ZnO nanostructures regarding their bandgap engineering toward visible wavelength light emitting applications. The CdxZn1-xO nanorods were grown by chemical vapor deposition using solid metal sources. The photoluminescence peaks of the nanorods were successfully tuned from UV to blue/green with different amount of Cd incorporations. The structural and optical properties of CdxZn1-xO alloy nanorods were systematically studied. It was found that growth temperature has strong influences on the alloy abilities of CdxZn1-xO nanorods. To employ the CdxZn1-xO nanorods into light emitting devices, a p-type SbZnO/CdxZn1-xO nanorods/n-type ZnO film double heterostructure was accomplished by a two-step growth method. The diode showed clear electroluminescence upon forward current injection. The emission centered at ~540 nm was identified to be related to the CdxZn1-xO. The demonstration of visible light emission in CdxZn1-xO nanorods provides more option on the application of ZnO-based materials.
9:00 AM - Z4.51
Physical and Electrical Properties of Highly Conductive ZnO Thin Films
Timothy C Droubay 1 D. C. Look 2 3 S. C. Chambers 1
1Pacific Northwest National Laboratory Richland USA2Wright State University Dayton USA3Wyle Laboratories Dayton USA
Show AbstractTransparent conductive oxides (TCO's) are of interest in many devices including solar cells, displays, low emissivity windows, and LEDs. There is growing interest in utilizing ZnO to replace indium tin oxide (ITO) due to the availability, cost, and low toxicity of ZnO. One impediment to the wide-spread use of ZnO is a lack of fundamental understanding of how dopants and defects influence the high conductivity observed in thin film samples. Two dopants of interest for n-type conductivity in ZnO are Ga and H. In an attempt to increase the conductivity of Ga-doped ZnO thin films, we grew Ga-doped ZnO by pulsed laser deposition (PLD) in different mixtures of H2 and Ar. We found that the two dopants exhibit a useful synergistic effect with the resultant films exhibiting lower resistivities than either dopant alone. As an example, a 620 nm thick Ga-doped ZnO film grown by PLD on Al2O3(0001) at 200 °C in an ambient of 67%H2/33%Ar had ρ = 1.4 x 10-4 Ohm-cm; µ = 32 cm2/V-s; and n = 1.4 x 1021 cm-3 and was remarkeably stable up to 600 °C. We will discuss the physical and electronic characterization of these films and present the role of both Ga and H as donors and in the passivation of defects.
9:00 AM - Z4.52
ZnO Oxide Nanostructures Grown on Different Paper Substrates by Hydrothermal Synthesis
Saionara V Costa 1 2 Juliana R Pegos 1 Talita Mazon 1 Ana Flavia Nogueira 2 Agnaldo S Goncalves 2
1CTI Campinas Brazil2Instituto de Quamp;#237;mica - UNICAMP Campinas Brazil
Show AbstractIn the recent years, the interest to growth ZnO nanostructures on paper substrates have been increased due to possibility for obtaining flexible devices and to use a relatively simple and inexpensive processing suitable for forthcoming future technologies such as flexible displays, solar cells, electronic paper, panel sensors, and actuators. However, the influence of the characteristics of the paper (like thermal stability, fibers network and purity) that have been used during the synthesis of the nanostructures has not been discussed yet. In this paper, several kinds of papers (like photographic paper, chromatographic paper and bacterial cellulose) were used as substrates for growing ZnO nanostructures by hydrothermal synthesis. A zinc acetate solution was used as nucleation layer to favor the growth of the ZnO nanostructures on paper substrate. The solution was deposited by spin-coating and heat treatment at 90 degrees. As precursors during the hydrothermal synthesis were used zinc nitrate (Zn(NO3)2) : hexamethylenetetramine [(CH2)6N4] at different concentrations. The samples were characterized by X-ray diffraction (XRD), Field Emission Scanning Electron Microscope (FE-SEM), RAMAN and photoluminescence spectroscopy. The FE-SEM analyses were performed in the LME/LNBio/LNLS. It was possible to verify that the characteristics of the paper used as substrate as well as the (Zn(NO3)2):HMTA concentration solution influenced on the formation of different ZnO nanostructures. The use of photographic paper was favorable for growing nanosheets, nanowires and nanotubes. It was noted a heterogeneous distribution of these nanostructures on surface of the paper. On the other hand, it is possible to obtain homogeneous nanoflowers from nanorods arrays and nanorods by using the chromatographic paper and bacterial cellulose. The results obtained are discussed based on the properties of the papers used, like thermal stability, composition and structure of the fiber networks. The analyzes from the PL spectra of ZnO nanostructures are related to the below-band-gap and band-edge that their intensities depend on the morphology of the nanostructures.
9:00 AM - Z4.53
Low Temperature Processing of High Mobility ZnO Thin Film Transistors on Flexible Substrates
Joseph Franklin 1 2 Thomas Anthopoulos 3 Martyn McLachlan 1 2
1Imperial College London London United Kingdom2Imperial College London United Kingdom3Imperial College London United Kingdom
Show AbstractThe requirement for developing low temperature processing routes for metal oxide layers onto flexible or organic functionalised substrates is necessary in a wide range of electronic devices. Here we report our recent work focussed on the deposition of highly crystalline n-type ZnO thin film transistors at temperatures < 200 C onto rigid and flexible, transparent substrates. We demonstrate pulsed laser deposition (PLD) as a versatile tool for ZnO film growth, which not only allows control of the physical properties of the films e.g. thickness, transparency, but importantly their electronic properties. We show that deposition can be achieved without the degradation of the soft substrate material and present a correlation of deposition conditions with defect chemistry and electronic structure of the semiconducting layer.
9:00 AM - Z4.54
Magnetoresistance in Pulsed Laser Deposited Zinc Oxide Films
Martina Stumpp 1 2 Tomohiro Ujino 2 Yoshitaka Kawasugi 2 Derck Schlettwein 1 Hirokazu Tada 2
1Justus-Liebig University Giessen Giessen Germany2Osaka University Toyonaka Japan
Show AbstractZinc oxide (ZnO) is a transparent direct wide-bandgap semiconductor with a large exciton binding energy which makes it ideal for spintronic and magneto-optic devices. In recent experiments, ferromagnetism in wide-bandgap oxides has been reported [1,2] which opens new possibilities to creating films with ferromagnetic ordering without magnetic dopants. It has been reported that magnetoresistance (MR) behaviors of ZnO films are strongly affected by their electron concentration [2]. In the present study, we investigated MR of ZnO films prepared by pulsed laser deposition (PLD) and discussed the origin of MR characteristics. We used micro-structured gold arrays on SiO2/Si as substrates. For the deposition of ZnO films, KrF laser pulses with a repetition rate of 10 Hz and a typical energy of 170 mJ were used. The films were synthesized at room temperature and the oxygen pressure was varied. Resistance measurements were carried out by using a PPMS system with magnetic fields up to 8 T. The MR strongly depended not only on the measurement temperature but also on the film preparation conditions which govern defect types and majority carrier concentrations in ZnO. It was found that the MR characteristics were strongly affected not only by the measurement temperature but also by conditions in the PLD process such as oxygen partial pressure. Lattice defects such as oxygen vacancies are thought to play a key role in MR characteristics of ZnO. [1] M. Venkatesan, C.B. Fitzgerald and J.M.D. Coey, Nature, 430, 630 (2004). [2] D.F. Wang, Journal of the Korean Physical Society, 58, 1304-1306 (2011).
9:00 AM - Z4.55
Control of the Formation of Zn1-xMgxO Films by Zinc Sulfate Concentration
Hiroki Ishizaki 1 Seishiro Ito 2
1Tokyo University of Science Suwa Chino-shi Japan2Kinki University Higashiosaka Japan
Show AbstractRecently, ZnO films with wide band gap energy were paid much attention for many applications such as optic device, electric luminescence device and transparent conductive oxide of solar cell. The band gap energy of ZnO film would be controlled by doping the other elements into this ZnO film. In particular, for the dopping of magnesium atoms into ZnO films, this ZnO film had the wider band gap energy than that of ZnO film. And the band gap energy of the ZnO:Mg films would be easily controlled by the magnesium content of this ZnO film. The ZnO films with the dopant of magnesium atoms (Zn1-xMgxO films) present interesting electrical and optical properties, which find the wide applications in the fields of optoelectronic and transparent conductive oxide. Other authors reported that Zn1-xMgxO films were deposited on the substrates by physical vapor deposition such as RF-magnetron sputtering, molecular beam epitaxy, metal organic chemical vapor deposition and pulsed laser deposition. On the other hand, the electrochemical preparation of oxide films from aqueous solutions presents several advantages over these techniques mentioned above; (1) the thickness and morphology of film can be controlled by electrochemical parameters, (2) relatively uniform films can be obtained on the substrates with complex shape, (3) films can be obtained on substrates with melting point below 373K such as polymer, (4) the technique is less hazardous and more environmentally friendly and (5) the equipment is not expensive. In this presentation, the influence of zinc sulfate concentration on electrochemical growth of Zn1-xMgxO films will be discussed in detail.
9:00 AM - Z4.56
Growth and Optical Property of the Zinc Oxide Nanocombs
Yuting Nie 1 Feng Bao 1 Jingping Zhang 2 Xuhui Sun 1
1Soochow University Suzhou China2Suzhou Institute of Nano-Tech and Nano-Bionics Suzhou China
Show AbstractA new type of comb-like ZnO nanostructures have been synthesized by CVD method using the gold nanoparticles (NPs) as the catalyst at 900C. The ZnO nanocombs are nearly structural uniform with high density. The diameters of the combs teeth are in the range of 10nm-60nm, and the lengths of the combs back are 3mu;m-5mu;m. The comb backs grew in the [0-110] direction guided by the gold catalyst on the tip and the comb teeth grew in the favorite [0001] direction without the gold NPs catalyst. The ZnO nanocombs exhibit the strong cathode luminescence (CL) and photoluminescence (PL) with two emissions at 387 nm (3.2eV) and 517 nm (2.4eV). The 387 nm emission is due to the band gap emission and green light at 517 nm is mostly arisen from the oxygen vacancy in the comb back. This is confirmed by the energy dispersive X-ray spectroscopy analysis under STEM that the more oxygen vacancy in the comb back. The monochrome CL mapping also reveals the oxygen vacancy emission is mostly from comb back. In addition, the spot CL spectra show that the intensity of band gap emission from the teeth decrease with the increase of the length of the teeth. This is probably due to the more defects in the long teeth.
9:00 AM - Z4.57
Ion-irradiation Induced Ferromagnetism and the Role of Defects in ZnO Thin Films
Siddhartha Mal 1 Sudhakar Nori 1 D. K. Avasthi 2 J. T. Prater 1 3 J. Narayan 1
1North Carolina State University Raleigh USA2Inter University Accelerator Centre New Delhi India3Army Research Office Durham USA
Show AbstractDefects play a very important role not only in determining the macroscopic physical properties, but also in controlling the optical, magnetic and electrical properties in ZnO based thin films. Defects were introduced in ZnO epitaxial thin films by a 300 MeV energy swift heavy 107Ag9+ ion irradiation in a controlled way. The optical, electrical and magnetic properties were modified without any changes in the crystal structure due to the presence of these defects. Point defects thus created through electron-photon interactions and bond breakings are essentially the manifestation of electronic stopping which is the dominant energy loss mechanism. Oxygen annealed ZnO films are epitaxial single crystals and exhibit a perfect non-magnetic behavior. Room-temperature ferromagnetism (RTFM) is observed in ion irradiated samples, and increases with amount of the ion dose. Ferromagnetism has also been created by vacuum thermal annealing and pulsed laser annealing below the thermal threshold. Raman studies in ion irradiated samples revealed that two silent modes B1low and B1high appear upon irradiation due to relaxation selection rules. Considerable decrease in the intensity of E2high mode and an increase in E2low and A1(LO) indicate the creation of oxygen vacancies and zinc interstitials. We have demonstrated that ferromagnetism can be introduced in the insulating, non-magnetic ZnO films by swift heavy ion irradiation. Our results establish a strong correlation between RTFM and presence of non-equilibrium concentrations of radiation induced defects, which are effective in providing some degree of long range ferromagnetic order. References: 1. S. Mal, J. Narayan, S. Nori, J.T. Prater and D. Kumar, Solid State Commun. 150, 1660 (2010) 2. S. Mal, S. Nori, C. Jin, J. Narayan, S. Nellutla, A. I. Smirnov and J. T. Prater, J. Appl. Phys. 108, 073510 (2010)
9:00 AM - Z4.58
Substrate Temperature Effects of the ZnO:AlF3 Transparent Conductive Oxide
Tien Chai Lin 2 Wen Chang Huang 1 Chin-Hung Liu 2 Shy-Chou Chang 2
1Kun Shan University Tainan Taiwan2Kun Shan University Taonan Taiwan
Show AbstractThermal effects on the crystal structure, electrical and optical characteristics of the Al and F co-doped ZnO films (ZnO:AlF3) were discussed in the paper. The ZnO:AlF3 thin films was prepared by rf sputtering with a constant power (ZnO/AlF3=100W/75W) toward the ZnO and AlF3 targets. The substrate temperature varied from room temperature to 250 C with a step of 50 C during thin film deposition. The quality of crystalline of the ZnO:AlF3 film is improved as the substrate temperature is increased. It also shows a corresponding grain growth. The improvement of the film quality leads to a higher carrier mobility. It increases to 0.85 cm2/V-s of the mobility of the film at the substrate temperature of 250 C. It is found that the vaporization of fluorine is increased as the substrate temperature increased. A small amount of fluorine addition to AZO film resulted in increase in Hall mobility, and the beneficial effect of fluorine addition was deduced to be caused by killing in-grain point defects. But further increase in fluorine content, the grain boundary scattering came into playing the dominant role as the mobility limiting mechanism. For the carrier concentration of the film, owing to the vaporization of fluorine at high substrate temperature, the doping effect of fluorine toward ZnO film is reduced. This leads to a reduction of carrier concentration in the temperature region of 25 to 200 C. The corresponding resistivity was increased from 3.60×10-2 Omega;-cm to 6.0×10-2 Omega;-cm. While for a further increased of substrate temperature, the doping of Al to the ZnO film was increased and resulted in the increase of carrier concentration.
9:00 AM - Z4.59
Preparation of ZnO:Mo Thin Films by RF Sputtering
Tien Chai Lin 2 Wen Chang Huang 1 Wen-Feng Huang 2 Shy-Chou Chang 2
1Kun Shan University Tainan Taiwan2Kun Shan University Tainan Taiwan
Show AbstractZinc oxide (ZnO) is a wide bandgap semiconductor material with attractive properties such as high piezoelectric constant, high optical transparency in the range of 0.4-2 um optical wavelength, and large exciton binding energy. The development of ZnO-based optical devices, it is necessary to obtain n-type and p-type ZnO films. The element Mo is a donor element in the doping process of ZnO films. Based on the electron configurations of Mo and Zn, the valence electron difference between Mo6+ and Zn2+ is 4. Therefore, a small amount of Mo doping can produce sufficient free carriers to reduce the ion scattering effects. In addition, Mo is a high thermally stable metal, ZnO based transparent conductive oxide (TCO) thin films show great potential in numerous applications as it was doped with Mo. This paper discusses the effects of RF power on Mo doped ZnO (MZO) thin films. Structural, electrical, and optical characterizations were used to evaluate the quality of the film. Results show that MZO films with a resistivity of 1.1 × 10-2 Omega;-cm, carrier concentration of 2.2 × 1021 cm-3, mobility of 0.63 cm2/V-s, and average transparency of 81.0% are obtained at the power of 20 W to the Mo target. At higher applied powers, the MZO films become stable p-type semiconductors. The films preserve their p-type characteristics after exposure to air for one and a half months. The crystal structure of the p-ZnO films is amorphous with an average transparency of 34.5%.
9:00 AM - Z4.60
The Electrical Characteristics of ZnO :Ga/p-Si Junction Diode
Wen Chang Huang 1 Tien Chai Lin 2 Chia-Tsung Horng 1 Shu-Hui Yang 1
1Kun Shan University Tainan Taiwan2Kun Shan University Tainan Taiwan
Show AbstractZinc oxide (ZnO) is a wide band gap semiconductor exhibiting many interesting properties making it promising for electro-optical devices. ZnO is a direct band gap material with a bandgap of 3.37 eV and shows more resistance to radiation damage than Si and GaN. Moreover, ZnO has a high exciton binding energy (about 60 meV) making the excitons thermally stable at room temperature. The structure of n-ZnO/p-Si junction is based on the application of the n-ZnO film as a practical antireflecting photon-window for Si photodiodes. The thin film, ZnO also is used to be a semiconductor layer and produce a built-in potential barrier at the n-ZnO/p-Si junction interface. Because of the wide bandgap of ZnO, low energy photons in the visible range may be collected mainly at the depletion region of the p-Si after they are transmitted through the ZnO. It is interesting that such a simple photodiode structure as the n-ZnO/p-Si has not been widely reported except by a small number of studies to date . In order to observe a good photoelectric effects from the photodiode, a good pn junction interface quatity for a low leakage current and a good film quality for the light transmission and carrier transport is very important. In the present work, we fabricated the n-ZnO/p-Si heterojunction. The Ga element was chose to be the dopant source to produce highly conductive as well as high quality film for ZnO. For the ZnO:Ga (GZO) film, it shows a average transmittance is 84.7% (above 400nm), a bandgap energy of 3.37 eV, a carrier concentration of 7.29E+13 cm-3 and a resistivity of 1.18E+02 W-cm. For the GZO/p-Si junction, it shows a junction barrier height of 0.54 eV with an ideality factor of 1.24. The capacitance-voltage measurement shows it has a uniform reverse bias depletion layer. The Cheung functions and Norde model are also brought to discussion the diode characteristics.
9:00 AM - Z4.61
Impact of Different Gate Metals and Insulator Thickness on Electrical Properties of ZnO-based Transistors with High-k WO3 Dielectric
Michael Lorenz 1 Anna Reinhardt 1 Holger von Wenckstern 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig - Institut famp;#252;r Experimentelle Physik II Leipzig Germany
Show AbstractHigh-k gate dielectrics have become increasingly important in electronics. Compared to commonly used silicon dioxide, these materials allow for thicker insulating layers, which suppress leakage currents evoked by tunneling [1]. In addition due to the high dielectric permittivity the voltages necessary to turn field-effect transistors on and off, respectively, are smaller. Recently, we reported tungsten trioxide (WO3) as high-k gate dielectric for ZnO based metal-insulator-semiconductor field-effect transistors (MISFET) [2]. The overall transparency of the gate layer stack on glass substrates is 86% when using a transparent conductive gate contact, like ZnO: Al 3% wt. In this contribution we demonstrate how the turn-on voltage of ZnO-based MISFETs with WO3 dielectric can be influenced by the growth parameters of the ZnO-channel and different gate contact materials, in order to obtain enhancement mode devices. Reducing the thickness d of the channel from 21 nm to 9 nm results in a shift of the turn-on voltage Von from -2 to -0.2 V. However, due to the employed transparent ZnO: Ga2O3 4% wt. (ZGO) gate contact, normally-off transistors were not observed. An analysis of the work function (Wm, defined by the Fermi energy) of the ZGO revealed a relative position of the Fermi level above that of the ZnO-channel. This in turn leads to a mutual accumulation of electrons in the channel. Accordingly, enhancement mode transistors cannot be obtained with a ZGO gate. Metals with a high work function are employed to shift Von towards 0 V. Transistors with a gate metal consisting of Al (Wm=4.28eV) show normally-on behavior. Due to a similar electron affinity of the ZnO channel (~4.1eV) the off-set is not large enough to deplete the ZnO over the whole depth of the channel. However, using Pt as metal gate Von was shifted to 0.3 V. Depending on the channel thickness and the employed gate metal we are able to tune the switching behavior of the transistors from being depletion mode to enhancement mode. In addition the impact on the subthreshold slope as well as stress measurements for different gate contacts are presented. We furthermore investigated the effect of different dielectric thicknesses on the subthreshold slope and leakage currents. A 380 nm thick insulator yields best results in terms of the minimum slope (~68 mV/decade) and leakage current suppression (<10-8 A/cm2). A change towards a lower insulator thickness increases leakage currents and the slope. A larger dielectric thickness increases the slope, introduced by a voltage drop across the insolating WO3. [1] G.D. Wilk, R.M. Wallace, J.M. Anthony, J. Appl. Phys. 89, 5243 (2001) [2] M. Lorenz, H. von Wenckstern, M. Grundmann, Adv. Mater. 23, 5383 (2011)
9:00 AM - Z4.62
Head-to-head Inversion Domain Boundaries in Sb-doped p-type ZnO Nanowires
Andrew Yankovich 1 Brian Puchala 1 Fei Wang 2 Jung-Hun Seo 3 Xudong Wang 1 Zhenqiang Ma 3 Alex Kvit 1 Paul Voyles 1
1University of Wisconsin, Madison Madison USA2University of Wisconsin, Madison Madison USA3University of Wisconsin, Madison Madison USA
Show AbstractWe have observed an unusual microstructure of head-to-head basal-plane inversion domain boundaries (b-IDBs) and interior voids in p-type Sb-doped ZnO nanowires using aberration-corrected Z-contrast STEM imaging [1]. The p-type character of the nanowires was demonstrated by the transport characteristics of a nanowire-channel FET, which remain stable over 18 months [1, 2]. All of the Sb in the nanowires is contained in b-IDBs. The b-IDBs occur either at the growth tip or at the bottom surface of an internal void. Position-averaged convergent beam electron diffraction measurements show that the base of the nanowires is Zn-polar, and high-resolution imaging of a b-IDB near the growth tip shows a lattice expansion consistent with a head-to-head b-IDB and inconsistent with a tail-to-tail b-IDB. We propose that all the b-IDBs in the nanowires are head-to-head because the O-polar material is terminated on the interior surface of the void. Head-to-head b-IDBs stabilize an extra plane of O compared to the perfect ZnO lattice, effectively co-doping the nanowires with Sb and O. Based on DFT calculations, we propose that Sb and O co-doping by head-to-head b-IDBs is responsible for the p-type conduction of the nanowires. [1] A. B. Yankovich, B. Puchala, Fei Wang, J.-H. Seo, D. Morgan, Xudong Wang, Z. Ma, A. V. Kvit, P. M. Voyles, Nano Letters 13, 1311 (2012). [2] Fei Wang, J.-H. Seo, D. Bayerl, Jian Shi, Hongyi Mi, Zhenqiang Ma, Deyin Zhao, Yichen Shuai, Weidong Zhou, Xudong Wang, Nanotechnology 22, 225602 (2011).
9:00 AM - Z4.63
Electrical, Optical and Structural Properties of Gallium and Aluminum Codoped Zinc Oxide Thin Films
Namik Kemal Temizer 1 Sudhakar Nori 1 John T. Prater 2 Jagdish Narayan 1
1North Carolina State University Raleigh USA2Army Research Office Durham USA
Show AbstractTransparent conducting oxide films based on zinc oxide (ZnO) have received much attention and emerged as commercially viable alternatives due to the high cost of indium tin oxide (ITO). The optoelectric properties of ZnO thin films depend highly on the deposition and post-growth treatment conditions as these properties change significantly with the dopant, the oxygen flux during film deposition, deposition temperature and desorption during annealing treatment in a reducing atmosphere. ZnO doped with group III elements (Al, Ga) has shown promising results when used as anode in organic solar cells. These n-type dopants effectively decrease the electrical resistivity of pure zinc oxide to 140 µOmega;-cm. In the present work, we focus on the electrical properties of Al and Ga codoped ZnO films. These results will be compared with our earlier studies on the metal-semiconductor transition behavior observed in transparent and conducting Ga doped ZnO films grown by pulsed laser deposition. Codoping with two donors is expected to increase dopant solubility and activation, higher crystal quality without the need for high temperature deposition and annealing. Preliminary results indicate that co-doping with 2-3% Al and 0.2-0.3% Ga produces a promising transparent conducting oxide for device applications. The electrical resistivity measurements were carried out on codoped ZnO films deposited on glass and c-sapphire substrates in the temperature range of 16 to 400 K. Temperature dependent resistivity measurements show a metal-semiconductor transition, where the transition temperature is dependent on the dopant concentration and is related to the increase in disorder due to the dopant addition. The electrical transport properties are correlated with the film structure; therefore, detailed structural investigation was performed using x-ray diffraction and transmission electron microscopy. It is observed that the films are highly textured along the c-axis on glass substrates, and they grow epitaxially on c-sapphire substrates, free of any nano-sized clusters. We present structure-property correlations in Ga and Al-doped samples.
9:00 AM - Z4.64
The Influences of Defects on Carrier Generation and Transport in ZnMgO
Yi Ke 1 2 Joseph Berry 1 John Perkins 1 Andriy Zakutayev 1 Brian Gorman 2 Tim Ohno 3 Philip Parilla 1 Ryan O'Hayre 2 David Ginley 1
1National Renewable Energy Laboratory Golden USA2Colorado School of Mines Golden USA3Colorado School of Mines Golden USA
Show AbstractWide-bandgap metal oxides such as Zn(Mg)O have the potential to enhance the performance of many optoelectronic devices. Here, we report on record-high conductivities (480 S/cm) in Zn0.7Mg0.29Ga0.01O (with 3.9 eV optical band gap) and present detailed studies on carrier generation and transport as influenced by the defect structure controlled by oxygen pressure during deposition and anneal, yielding insights needed to optimize the properties of this complex mixed-metal oxide for specific applications. Films were grown as a function of oxygen partial pressure and subsequent controlled-atmosphere anneals. Obtaining high conductivity in wide-bandgap ZnMgO is historically difficult. While band gap increases with increasing Mg content, this is generally accompanied by decreased conductivity σ, mobility mu;, and carrier concentration n. To address this issue, Ga-doped ZnMgO thin films with 30 at. % Mg were grown using Pulsed Laser Deposition on sapphire in an Ar/O2 atmosphere. Oxygen partial pressure (PO2) during the deposition was varied between 1×10-7 Torr to 5×10-3 Torr for different samples while keeping the total pressure constant. PO2 had a significant influence on both electron concentration (n) and Hall mobility (mu;). A maximum conductivity (σ) of 350±14 S/cm, was found in films deposited at PO2 below 1×10-4 Torr. When films were subsequently annealed in low oxygen pressure (1×10-7 Torr), mu; increased by ~36% while n remains effectively constant (1.9×1020±0.1×1020 cm-3), leading to a maximum conductivity (σ) of 480±25 S/cm. The fundamental absorption onset of these ZnMgO:Ga samples was at 3.9 eV, which is 0.4 eV larger than the baseline ZnO:Ga samples. The combination of high conductivity and wide band gap is desirable for many optoelectronic devices such as OPV, OLED, and thin-film solar cells. Photoluminescence, FTIR, and temperature-dependent Hall measurements were performed on the as-deposited and annealed films in order to understand how oxygen concentration (PO2) during processing influences ultimate carrier concentration and transport. Temperature-dependent Hall showed little variation of µ or n for samples with conductivities higher than 200 S/cm, suggesting that the doping is degenerate. A broad photoluminescence peak centered at ~2 eV was universally presented in all films. This peak&’s intensity was inversely coupled with carrier concentration. HRTEM images were also taken on as-deposited samples and indicate that the samples are structurally similar, thus differences in PL emission and electrical properties may correlate to point defects.
9:00 AM - Z4.65
A Study of Oxygen-pressure-dependent Native Doping in Amorphous Indium Zinc Oxide (a-IZO) Thin Films Using High Pressure Oxidation
Sunghwan Lee 1 David C. Paine 1
1Brown University Providence USA
Show AbstractAmorphous oxide semiconductors (AOS) based on In2O3 have been widely studied for use as the active channel layer material in thin film transistor (TFT) applications due to their high carrier mobility (10-50 cm2/Vs), ability to be deposited at room temperature, and their high optical transparency (>85% in the visible regime). We have investigated the electrical and structural stability of amorphous In-Zn-O (a-IZO) TFTs. In previous work, we reported[1] compositionally homogeneous a-IZO/a-IZO channel/metallization n-MOSFETs which operate in depletion-mode (VTh~ -3.21 V) and have a saturation field effect mobility (mu;sat) of ~20 cm2/Vsec and an on/off ratio >106. One important challenge which limits the use of a-IZO for TFT applications is the control and stability - over time and after low temperature annealing -- of the carrier density in the low carrier concentration regime (1-10x1015/cm3) need for channel material. Unexpected increases in carrier density as a result of low temperature annealing and UV illumination have been reported[2,3]. Increases in carrier density lead to significant threshold voltage shifts and increased off-state currents. In this presentation, we will describe recent high pressure oxidation (HPO) studies that reveal the nature of the primary doping mechanism that operates in a-IZO. High pressure oxidation (up to 800 atm) increases oxidation kinetics and allows the establishment of oxygen vacancy equilibrium at relatively low temperatures. This has allowed us to establish the effect of oxygen pressure on carrier density (n) in a-IZO at pressures of 200-800 atm and temperatures between 200 °C to 400 °C. We have found that the carrier density in a-IZO is proportional to the -1/6 power of oxygen fugacity. This is strong support for the idea that the doping mechanism in a-IZO is analogous to that which is known[4] to operate in crystalline In2O3: 1/2O2(g) + Vo#9679;#9679; + 2e- = Oox. Using the HPO approach, it is possible to modify the carrier concentration in a-IZO deposited under a single sputter deposition condition. This is in contrast to previous a-IZO transport studies which modify the carrier concentration by depositing films under different conditions (e.g., oxygen pressure during sputtering). Using this approach, we also report on the carrier density dependence of carrier mobility in isostructural materials. 1. S. Lee, H. Park, and D. C. Paine, Journal of Applied Physics 109, 063702 (2011). 2. S. Lee, B. Bierig, and D. C. Paine, Thin Solid Films 520, 3764 (2012). 3. D. C. Paine, B. Yaglioglu, Z. Beiley, and S. Lee, Thin Solid Films 516, 5894 (2008). 4. J. H. W. De Wit, G. Van Unen, and M. Lahey, Journal of Physics and Chemistry of Solids 38, 819 (1977).
Z1: ZnO and Related Materials I
Session Chairs
Steven Durbin
Martin Allen
Monday AM, November 26, 2012
Hynes, Level 2, Room 200
9:30 AM - *Z1.01
Phonons, Excitons, Structural Defects and Shallow Impurities in ZnO
Axel Hoffmann 1 Markus R Wagner 1 Sebastian Polarz 2
1TU Berlin Berlin Germany2University of Konstanz Berlin Germany
Show AbstractThe advanced application of oxide semiconductors in areas like photovoltaics, optoelectronics, or photocatalysis requires a precise control over the electronic properties. The morphology (shape, size, lattice structure) of these materials is a central parameter influencing its functional properties. The optical transitions and dynamics of excitons, phonons, impurities and defects in ZnO are reviewed. A comparative study of ZnO nano-crystals as well as doped and undoped ZnO films reveals pronounced differences in the free and bound exciton luminescence that can be related to different impurity centers and strain levels. The properties of the shallow impurity bound excitons are compared to structural defect related deeply bound excitons. In addition, we investigate the influence of resonant and non-resonant excitation on the decay dynamics of phonons and excitons in ZnO.
10:00 AM - Z1.02
Doping and Electrical Properties of ZnO Bulk Crystals Grown by the Hydrothermal Technique
Buguo Wang 1 2 Matthew Mann 2 Michael Snure 2 David Weyburne 2 David Tomich 2 David Look 2 3 4
1SSSC/AFRL WPAFB USA2Air Force Research Laboratory WPAFB USA3Wright State University Dayton USA4Wyle Laboratories WPAFB USA
Show AbstractZnO as an oxide semiconductor has many applications, and each application requires a different conductivity. Although we have witnessed a revival of ZnO research in the past decade, controlling the electrical conductivity of ZnO has remained a major challenge. One approach to address these issues consists of growing high-quality single crystalline bulk material in which the concentrations of donor and acceptor impurities and intrinsic defects are controlled. Therefore, we have intentionally grown ZnO bulk crystals with different dopants by the hydrothermal technique in our laboratory. The electrical properties of the doped ZnO crystals were measured by Hall-effect at room temperature and at low-temperature. It was found that the ZnO crystals can have different electrical properties from highly conductive to semi-insulating to potentially p-type. While group-III (indium, gallium and aluminum) doped ZnO crystals are highly conductive, lithium, magnesium or nitrogen doped ZnO usually has high resistivity, particularly after annealing. High quality ZnO without lithium grown in H2O/D2O or in NH3-H2O also showed a low resistivity. Electrical properties of some co-doped ZnO grown under hydrothermal conditions will be also reported, and the transport mechanisms will be discussed.
10:15 AM - Z1.03
Patterned Growth of Zinc Oxide Microstructures from an Aqueous Solution
Kathryn Greenberg 1 John Joo 1 Tsung-Li Liu 1 Evelyn Hu 1
1Harvard University Cambridge USA
Show AbstractTypically a top-down approach is used in the fabrication of functional nanodevices beginning with the bulk material and imposing a two or three dimensional device structure on the material through a combination of lithography and etching. Pre-patterning of a substrate, resulting in the selective growth of a material has the potential for forming three dimensional device structures in ways that can be more efficient and which can avoid process complexity and process induced damage. We have previously reported on the formation of ‘naturally undercut&’ structures in zinc oxide using this approach. These structures could be useful in the formation of zinc oxide (ZnO) based optical devices. The optimization of such a bottom-up technique requires the full understanding of the relationships of the masking materials, dimensions, and geometries to the natural growth morphologies of the material that is being studied. Here we present work using top-down approaches to create patterns or molds for bottom-up, solution-based growth of ZnO. We investigate the effects on the material&’s properties of constraining the growth of the ZnO using molds. The structures presented here are grown using a common aqueous solution technique done at low temperatures (90°C) using inexpensive, nontoxic precursors. Using various fabrication techniques, such as photolithography and e-beam lithography, we create removable molds made from photoresist, PMMA, or gold which constrain or modify the single crystalline ZnO growth. A variety of structures of various sizes (500nm-3mu;m) and shapes (lines, circles, hexagons, etc.) were patterned to form the molds for the selective growth of ZnO. Scanning electron microscopy is used to image the fabricated structures which are grown at various concentrations, for different lengths of time, and in different molds. In addition, we present data showing how patterning various shapes in different orientations influences the preferred orientation for the zinc oxide growth. In certain types of constrained growth, strain is evident in the overgrown material. These studies are important, not only in determining the ultimate three dimensional structures that can be formed through patterned growth of zinc oxide, but also in understanding how to obtain the best, most uniform, and defect free ZnO for optoelectronic devices.
10:30 AM - Z1.04
Comparative Study of Electronic Properties of ZnO Thin Films Grown on a-, c- or r-sapphire
Holger von Wenckstern 1 Michael Scheibe 1 Florian Schmidt 1 Gabriele Benndorf 1 Marius Grundmann 1
1Institut famp;#252;r Experimentelle Physik II Leipzig Germany
Show AbstractZnO is a potential candidate for the realization of UV optoelectronic devices like light emitting or laser diodes. Besides the remaining difficulties in obtaining p-type material peculiarities connected to the wurtzite lattice structure have to be considered in order to maximize the efficiency of future devices. First and foremost internal electric fields and the resultant quantum-confined Stark effect reduce the oscillator strength of excitonic transitions in quantum wells if grown along the ±c-direction. Additionally, the strain relation between the barrier and the quantum well material depends on growth direction and is in principle opposite for growth along the polar and non-polar direction, respectively. Further, the incorporation of defects and impurities can vary strongly for different growth directions [1]. In this contribution we report on structural, optical, morphological and electrical properties of ZnO thin films on a ZnO:Al buffer layer grown on a-, c- and r-plane sapphire substrates, respectively. The usage of a fourfold substrate holder allowed to grow all samples were grown in one and the same deposition run and hence under identical growth conditions. Deposition on a- and c-plane sapphire results in c-oriented, polar thin films; deposition on r-plane sapphire yields a-plane oriented, non-polar thin films, respectively. The ZnO:Al buffer layer introduces strain similar to MgZnO/ZnO heterostructures: the polar and non-polar ZnO thin films are under tensile and compressive strain, respectively. Therefore, prominent luminescence features occur at different transition energy and the tensile strain induced I12 recombination [2] is visible only for the polar thin films. The same holds true for the defect E3&’ [3] also being only observed for the polar thin films being under tensile strain. Further, we will discuss distinct differences observed around the I3a transition and correlate these to the incorporation of shallow and deep defects investigated by thermal admittance and deep level transient spectroscopy, respectively. [1] S. Lautenschläger, S. Eisermann, M. Hofmann, U. Roemer, M. Pinnisch, A. Laufer, B.K. Meyer, H. v. Wenckstern, A. Lajn, F. Schmidt, M. Grundmann, J. Cryst. Growth 312, 2078 (2010) [2] M. Brandt, H. von Wenckstern, G. Benndorf, M. Lange, C.P. Dietrich, C. Kranert, C. Sturm, R. Schmidt-Grund, H. Hochmuth, M. Lorenz, M. Grundmann, M.R. Wagner, M. Alic, C. Nenstiel and A. Hoffmann, Phys. Rev. B 81, 073306 (2010) [3] F. Danie Auret, W.E. Meyer, P.J. Janse van Rensburg, M. Hayes, J.M. Nel, H. von Wenckstern, H. Schmidt, G. Biehne, H. Hochmuth, M. Lorenz, M. Grundmann, Physica B 401-402, 378-381 (2007)
10:45 AM - Z1.05
High-resolution Photoluminescence and Raman Studies of Antimony Doped ZnO Nanowires Grown by Metal Organic Vapor Phase Epitaxy
Senthil Kumar Eswaran 1 ZhiWei Deng 1 Ian Anderson 1 Faezeh Mohammadbeigi 1 Thomas Wintschel 1 Simon P Watkins 1
1Simon Fraser University Burnaby Canada
Show AbstractDoping group-V elements in ZnO is intriguing because of the possible realization of p-type conduction, which is a bottleneck for the fabrication of optoelectronic devices. In particular, there has been an increasing interest in Sb doping to achieve p-type ZnO. However, recent channeling experiments show that Sb occupies Zn sites (rather than O sites) raising questions about the role of antimony as an acceptor in ZnO [1]. There have been many different reports of Sb-related photoluminescence (PL) transitions by various groups, with no clear evidence yet of acceptor bound exciton transitions. In this work we report high resolution PL and Raman studies of undoped and Sb doped ZnO nanowires (NWs) grown by metalorganic vapor phase epitaxy (MOVPE) at 605°C. By careful control of the Sb dopant precursor concentration using the MOVPE technique we can study the incorporation of Sb over a wide range of concentrations. 4.2 K PL spectra of the undoped ZnO NWs exhibit extremely sharp neutral donor bound exciton (D0X) transitions I8 and I9, associated with Ga and In donors respectively, at 3356.8 meV and 3359.9 meV. These transitions show linewidths as low as 0.2 meV at 4.2 K. Ionized donor bound exciton (D+X) transitions were observed at 3367 meV and 3371.2 meV corresponding to I2 (In) and I1 (Ga). The addition of TMSb at very low concentrations (3.8 nmol/min) introduces a new bound exciton transition at 3364.2 meV, which is absent in the undoped NWs. The intensity of this peak systematically increases with increasing Sb concentration and becomes comparable to the I8 and I9 intensity at 78.6 nmol/min of TMSb flow. This suggests that the 3364.2 meV transition must be associated with excitons bound to Sb impurities. However, the energy position of this transition is much higher than the predicted acceptor bound exciton (A0X) transition region (3330 meV-3358 meV) in ZnO (2). Hence this transition must be associated with donors rather than acceptors. Moreover, this transition thermalizes like other D0X transitions. We conclude that the transition at 3364.2 meV is associated with Sb-related donor bound excitons (Sb-D0X) and hence at low concentrations Sb acts as a donor in ZnO NWs rather than an acceptor. We tentatively attribute the peak to Sb point defect donors possibly on Zn lattice sites. Based on Haynes rule, we estimate a donor binding energy of 32.9 meV. Raman spectra of the Sb doped ZnO NWs exhibit additional modes at 277 cm-1, 510 cm-1, 536 cm-1, 580.1 cm-1 and 685.8 cm-1. The modes at 277 cm-1 and 510 cm-1 were previously attributed to intrinsic host lattice defects. Peaks at 536 cm-1, 574 cm-1, 584 cm-1 and 685.8 cm-1 likely correspond to higher order Raman modes of ZnO possibly allowed by disorder caused by high Sb doping (3). References: 1. Wahl et al. Appl. Phys. Lett. 94, 261901 (2009). 2. M. R. Wagner et al. Phys Rev B. 84, 035313 (2011). 3. Cusco et al. Phys Rev B. 75, 165202 (2007).
11:30 AM - Z1.06
Temperature Dependence of the Direct Band Gap and Transport Properties of CdO
Sepehr Vasheghani Farahani 1 Vicente Munoz-Sanjose 2 Jesus Zuniga-Perez 3 Chris McConville 1 Tim Veal 4
1University of Warwick Coventry United Kingdom2University of Valencia Valencia Spain3CNRS-CRHEA Valbonne France4University of Liverpool Liverpool United Kingdom
Show AbstractThe tendency for CdO to be degenerately n-type has meant that its fundamental band parameters have not been determined with the same accuracy as for many other binary compound semiconductors. In fact, a relatively large number of different values for its fundamental direct band gap have been reported. Here, temperature-dependent optical absorption, Hall effect and infrared reflectivity measurements have been performed on as-grown and post-growth annealed CdO films grown by metal organic vapor phase epitaxy on sapphire substrates. The evolution of the absorption edge, conduction electron plasma edge and free electron density as a function of temperature has been modeled self-consistently, including the effects of Burstein-Moss shift (band filling) and band gap renormalization. As a result, the zero temperature fundamental direct band gap and the associated Varshni parameters for the temperature dependence of the band gap have been determined. In terms of the temperature dependence of the transport properties, the free electron density was found to be almost temperature independent, as expected for an unintentionally degenerately doped semiconductor, but significant temperature dependence of the electon mobility was found.
11:45 AM - Z1.07
Electronic and Structural Properties of CdxZn1-xO Thin Films across the Full Composition Range
Douglas Mark Detert 1 2 Sunnie H.M. Lim 3 Alexander V. Luce 1 2 Ruying Zhao 1 2 Kyle Tom 1 2 Jonathan D. Denlinger 4 Andramp;#233; Anders 3 Oscar D. Dubon 1 2 Kin Man Yu 2 Wladek Walukiewicz 2
1University of California Berkeley Berkeley USA2Lawrence Berkeley National Laboratory Berkeley USA3Lawrence Berkeley National Laboratory Berkeley USA4Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractRecent developments in the band gap engineering of ZnO-based alloys have demonstrated that the optical band gap of ZnO (3.3 eV) can be tuned across the visible spectrum by alloying with CdO. Here, we investigate the effects of composition and crystal phase on the electronic structure of these alloys using optical and soft X-ray spectroscopies along with electrical measurements. CdxZn1-xO thin films across the composition range were grown on glass substrates by filtered cathodic arc deposition at 300 °C. X-ray diffraction reveals that the films are polycrystalline and that a phase transition from (0002)-textured wurtzite to (002)-textured rock salt occurs at x=0.69. A slight phase separation is observed in the films with compositions near the transition point. The optical absorption edge and the band-to-band photoluminescence (PL) peak decrease in energy with increasing Cd content from 3.3 eV for ZnO to below 1.9 eV at x=0.69. Strong luminescence and a less-than-100-meV difference between the absorption edge and the PL peak energy indicate uniform composition in the wurtzite phase samples. Above x=0.69 a step increase to higher optical-absorption energy accompanies the structural transformation to the CdO-like rock salt structure. Room-temperature Hall effect and resistivity measurements show an unexpected dependence of the electron mobility on composition and electron concentration. Addition of CdO to ZnO increases both electron mobility and electron concentration from mu;~15 cm2/Vs and n~2x1019 cm-3 (ZnO) to mu;~30 cm2/Vs and n~2x1020 cm-3 (x=0.69). An abrupt, fourfold rise in the mobility is observed alongside the transition to the rock salt structure (x>0.69). To unambiguously identify the origin of the observed changes in the band gaps of these alloys, we have tracked the movement of the conduction band and valence band edges with respect to the vacuum level as a function of alloy composition using oxygen K-edge X-ray absorption and soft X-ray emission spectroscopy. These experiments confirm that the observed narrowing of the optical gap is due primarily to the downward shift of the conduction band edge with increasing Cd content while the energy of the valence band edge remains relatively unchanged across the composition range. This work was supported by the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.
12:00 PM - Z1.08
Interstitial Zinc Complexes in ZnO
Norbert H. Nickel 1 Felice Friedrich 2 Marc A. Gluba 1 A. Lusson 3 V. Sallet 3 C. Sartel 3 A. Souissi 3
1Helmholtz-Zentrum Berlin Berlin Germany2Technische Universitamp;#228;t Berlin Berlin Germany3Universitamp;#233; de Versailles-Saint-Quentin-en-Yvelines Versailles France
Show AbstractResearch devoted to ZnO is mainly driven by its potential use in optoelectronic devices and as transparent conducting electrodes for solar cells and flat panel devices. The major drawback of ZnO is the doping asymmetry naturally favoring n-tpye conductivity. The fabrication of stable p-type ZnO with reasonable hole concentrations is extremely difficult and hence its real potential as a TCO layer in solar cells cannot be fully exploited. While n-type doping is easily achieved with donors such as Al and Ga, reliable reports on p-type doping employing group I and group V elements on Zn and O sites, respectively, are rare. In this work, group V elements are used as suitable acceptors. Interestingly, their incorporation gives rise to additional Raman modes in the range of 270-860 cm-1. Raman measurements performed with different excitation wavelengths showed that the vibrational mode at 577 cm-1 is due to resonantly enhanced LO phonons [1]. The anomalous local vibrational mode (LVM) located at 275 cm-1 and 510 cm-1 were initially only reported to occur in N doped ZnO [1, 2]. To elucidate their microscopic origin, we performed a detailed study of the influence of natural Zn (natZn) and 68Zn isotopes and various acceptors on the phonon modes of ZnO. For this purpose ZnO films were grown on sapphire substrates at a substrate temperature of 800 °C using either plasma-assisted pulsed laser deposition (PLD) or metal organic chemical vapor deposition (MOCVD). N doping was achieved by adding N2O during the PLD growth or by N implantation after the deposition. In MOCVD growths triethyl-antimony was used as the Sb doping source. Doped and undoped natZnO samples showed a LVM at 274 cm-1. In isotopically enriched and N doped 68ZnO this mode shifts by 5.4 cm-1 to lower wave numbers. This isotopic shift suggests that the LVM is due to the vibration of an interstitial Zn atom bound to a substitutional N atom (Zni - NO). The LVM at 274 cm-1 is also observed in Sb doped ZnO. However, when oxygen is added during the PLD process the resulting ZnO samples do not exhibit the vibrational mode at 274 cm-1. This implies that its origin is related to the presence of interstitial Zn. To elucidate the microscopic origin of the local vibrational mode, density functional theory calculations were performed using the projector augmented plane wave approach. The vibrational frequencies of various interstitial Zn complexes were calculated with the harmonic oscillator approach. The implications of these results for the growth of ZnO and the possibility to achieve reliable p-type doping will be discussed. [1] F. Friedrich and N. H. Nickel, Appl. Phys. Lett. 91, 111903 (2007). [2] F. Reuss, C. Kirchner, Th. Gruber, R. Kling, S. Maschek, W. Limmer, A. Waag, and P. Ziemann, J. Appl. Phys. 95, 3385 (2004).
12:15 PM - Z1.09
Microstructure and Optical Properties of Nitrogen Doped ZnO Nanowires
Ahmed Souissi 1 2 Nadia Haneche 1 Corinne Sartel 1 Abdel Meftah 2 Alain Lusson 1 Vincent Sallet 1 Meherzi Oueslati 2 Pierre Galtier 1
1UVSQ Versailles France2Universitamp;#233; El Manar Tunis Tunisia
Show AbstractThe achievement of efficient and reliable p-type ZnO in bulk or thin films is still currently a serious limitation for the development of optoelectronic devices. This has been related to the presence of residual n-type impurities, to the structural defects incorporated during growth ,to the difficulty to place the dopant at the adequate atomic site or to complexes favored by thermodynamics. In this context, the realization of doped nanowires has been proposed (i) to reduce the number of extend or localized defects and (ii) to exploit surface effects in order to improve the incorporation efficiency of dopants in electrically active sites. We present a study on the incorporation of Nitrogen as p-type dopant in ZnO nanowires. Nanowires (NWs) with different nitrogen concentrations were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) using DEZn, NO2 and NH3 as zinc, oxygen and nitrogen doping sources respectively. NWs were characterized by scanning electron microscopy, photoluminescence, micro-Raman spectroscopy and transmission electron microscopy (TEM). NWs were almost vertically aligned along their c-axis with Zn-polarity. Two additional intense Raman peaks related to the nitrogen incorporation are observed in addition to the bulk related modes. According to previous results obtained on thin films where SIMS was used for calibration, the comparison of the intensity of the additional peaks relative to bulk modes indicates a doping level in the 1018-1019-cm-3 range. Micro-Raman scattering performed on a single nanowire proves the uniformity of the nitrogen concentration along the nanowire for highly doped samples. Photoluminescence spectra exhibit donor-acceptor pair band which confirms that the incorporation of nitrogen in ZnO nanowires is efficient for a p-type activity. TEM show that both undoped and doped nanowires do not exhibit extended structural defects (dislocations, stacking faults). However, a close comparison of doped and undoped wires in diffraction contrast reveal that the core of the doped nanowires is composed of nano-sized domains with small lattice parameter or orientation fluctuations. They are attributed to the lattice distortion generated by the incorporation of nitrogen (and associated complexes). The existence of these domains is probably the explanation of the huge Raman cross section observed for the impurity related peaks. The domains are not observed in the sidewall part of the doped wires suggesting that elastic relaxation occurs close to the surface or that dopants are concentrated in the core of the wires.
12:30 PM - Z1.10
Properties of High-quality Schottky Contacts on PLD-grown ZnO Thin Films: Rectification, Temperature Stability and Effective Richardson Constant
Stefan Mueller 1 Holger von Wenckstern 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractThe still exisiting difficulties in reproducibly growing p-type ZnO makes Schottky contacts essential for material characterization [1-3] but most notably for simple devices like UV-photodetectors [4, 5], metal-semiconductor field-effect transistors [6] and circuits thereof [7]. Nevertheless, the basic properties like rectification, barrier height or ideality factor of Schottky contacts reported in the literature vary strongly. This is partly due to the different ZnO material used and to a great extend caused by different routes applied for contact preparation. In this contribution we compare properties of differently prepared high-quality Schottky contacts on ZnO thin films grown by pulsed-laser depostion. The Schottky contacts were either resistively evaporated or realized by reactive direct current sputtering. Further, the effect of pre-treatments like etching in H2O2 is investigated. The Schottky diodes were characterized by current-voltage measurements in a temperature range from room temperature up to 150°C. The ratio of the forward and reverse currents determined at +2 V and -2 V, respectively, is above 1011 for the best devices. The ideality factor of evaporated contacts is typically 1.1 and higher for the sputtered couterparts. The difference in the ideality factor is likely caused by fluctuations of the Schottky barrier potential for the sputtered contacts as temperature dependent measurements suggest. Such fluctuations are negligible for evaporated contacts in the investigated temperature regime and hence the effective Richardson constant determined as 21 AK-2cm-2 for Pd/ZnO Schottky diodes is similar to the theoretical value of 32 AK-2cm-2 and to the value of 27 AK-2cm-2 previously determined for IrOx/ZnO Schottky diodes on m-plane melt grown bulk ZnO [8]. [1] F. D. Auret, S. A. Goodman, M. J. Legodi, W. E. Meyer, D. C. Look, Appl. Phys. Lett. 80, 1340 (2002) [2] L. Vines, E.V. Monakhov, R. Schifano, W. Mtangi, F.D. Auret, B.G. Svennson, J. Appl. Phys. 107, 103707 (2010) [3] H. von Wenckstern, H. Schmidt, M. Grundmann, M.W. Allen, P. Miller, R.J. Reeves, S.M. Durbin, Appl. Phys. Lett. 91, 022913 (2007) [4] S. Liang, H. Sheng, Y. Liu, Z. Hou, H. Shen, J. Cryst. Growth 225, 110 (2001) [5] Z. Zhang, H. von Wenckstern, M. Schmidt, M. Grundmann, Appl. Phys. Lett. 99, 083502 (2011) [6] H. Frenzel, A. Lajn, H. von Wenckstern, M. Grundmann, J. Appl. Phys. 107, 114515 (2010) [7] H. Frenzel, F. Schein, A. Lajn, H. von Wenckstern, M. Grundmann, Appl. Phys. Lett. 96, 113502 (2010) [8] K. Sarpatwari, O.O. Awadelkarim, M.W. Allen, S.M. Durbin, S.E. Mohney, Appl. Phys. Lett. 94, 242110 (2009)
12:45 PM - Z1.11
Epitaxial Growth of ZnO Based Semiconductors via Impurity-additive Mediated Crysallization
Naho Itagaki 1 2 Kazunari Kuwahara 1 Koichi Matsushima 1 Tadafumi Hirose 1 Daisuke Yamashita 1 Hyunwoong Seo 1 Kunihiro Kamataki 1 Giichiro Uchida 1 Kazunori Koga 1 Masaharu Shiratani 1
1Kyushu University Fukuoka Japan2PRESTO Tokyo Japan
Show AbstractZnO and its related alloys are attractive materials for broad-reaching applications in optics, electronics, and photonics because of their unique features such as direct bandgap, large exciton binding energy, and strong piezoelectric properties. We have recently demonstrated a novel fabrication method of ZnO films utilizing nitrogen mediated crystallization (NMC), where the crystal nuclei density is controlled since the impurity nitrogen suppresses crystal growth of ZnO films [1,2]. Here we apply the NMC method to epitaxial growth of ZnO films using RF magnetron sputtering with the aim of providing semiconductor-grade ZnO films at low cost. We also demonstrate a novel ZnO-based semiconductor ZnInON, which has tunable bandgap in the visible range, epitaxially grown on ZnO films prepared by NMC method (NMC-ZnO). NMC-ZnO films were fabricated on c-plane sapphire substrates by RF magnetron sputtering at the substrate temperature of 700 degrees C. The used gas was N2-Ar and the total pressure was 0.3 Pa. By utilizing the 10-nm-thick NMC-ZnO films as buffer layers, ZnO films were deposited by RF magnetron sputtering at 700 degrees C in Ar-O2 atmosphere. The film thickness was 1mu;m. After deposition, the films were annealed at 1000 degrees C for 3h in air. ZnInON films were fabricated by RF sputtering at 300 degrees C in Ar-N2 atmosphere. The film thickness was 30 nm and no post anneal was performed. We have fabricated atomically-flat ZnO films with 0.26-nm-high steps, the height of which corresponds to a half of c-axis length of ZnO, by using NMC-ZnO buffer layers. FWHM of XRD rocking curves from (002) plane and (101) plane are 47 arcsec and 335 arcsec, respectively, being significantly small compared with those of conventionally sputtered ZnO films. NMC-ZnO buffer layers reduce the residual carrier concentration in ZnO down to 1×10^16 cm^-3. Furthermore, we have succeeded in epitaxial growth of ZnInON films on ZnO films prepared via NMC method. Our ZnInON films have the high absorption coefficient of 10^5 cm^-1 and the tunable bandgap in a wide range from 1.7 eV to 3.4 eV. The NMC method is a powerful tool for epitaxial growth of high-quality ZnO based semiconductors by sputtering that is suitable for large-area and low-cost fabrication. This work was partially supported by JSPS and PRESTO. [1] N. Itagaki, et al., Appl. Phys. Express 4 (2011) 011101. [2] K. Kuwahara, et al., Thin Solid Films 520 (2012) 4674.
Symposium Organizers
Timothy Veal, University of Liverpool
Steven M. Durbin, University at Buffalo-SUNY
Martin Allen, University of Canterbury
Andre Schleife, Lawrence Livermore National Laboratory
Symposium Support
Army Research Laboratory
Lawrence Livermore National Laboratory
Z7: p-type Oxide Semiconductors
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 200
2:30 AM - Z7.01
Electronic Structure of Copper Oxide Compounds from First Principles
Markus Heinemann 1 Bianca Eifert 1 Christian Heiliger 1
1Justus-Liebig-University Giessen Germany
Show AbstractThe copper oxide phases cupric oxide (CuO), cuprous oxide (Cu2O), and paramelaconite (Cu4O3) are of new interest in the field of optoelectronics and solar technology. The properties of the p-type semiconductor Cu2O are well studied, but little is known about the electronic and optical properties of the remaining two compounds. While recent measurements indicate that these phases are semiconducting as well, standard density functional theory methods like the local density approximation (LDA) give a metallic ground state [1]. In this paper, we investigate the electronic structure of all three copper oxide phases using ab initio methods beyond the LDA. We compare the DFT+U approach to hybrid functionals and quasiparticle calculations within the framework of the GW approximation. Further we study the phase stability of the three copper oxide compounds in different temperature and pressure domains. [1] B. K. Meyer, A. Polity, D. Reppin, M. Becker, P. Hering, P. J. Klar, Th. Sander, C. Reindl, J. Benz, M. Eickhoff, C. Heiliger, M. Heinemann, J. Blasing, A. Krost, S. Shokovets, C. Müller, and C. Ronning, Phys. Status Solidi B, 1-23 (2012), DOI 10.1002/pssb.201248128
2:45 AM - Z7.02
Optical and Electrical Properties of Cu2O, Cu4O3 and CuO
Daniel Reppin 1 Angelika Polity 1 Bruno Karl Meyer 1
1JLU Giessen Giessen Germany
Show AbstractWith the band gaps ranging from 1.4 eV (CuO) to 2.2 eV (Cu2O) and the intrinsic p-type conductivity the copper oxides are interesting materials for a wide range of applications like thin film transistors or photovoltaic devices. We deposited the oxides by rf magnetron sputtering from a 4N Cu-target at room temperature, and kept the argon flow constant while varying the oxygen flux. Dependent on the oxygen flux Cu2O, Cu4O3 or CuO was synthesized. The different compounds where characterized by XRD. The dielectric functions of the oxides were quantified by ellipsometry measurements and show significant differences between the compounds. It will be shown that the electrical properties like carrier concentration for each compound can be tuned by adjusting the oxygen flux. We discuss the structural, optical and electrical properties of the synthesized copper oxides in terms of phase purity and stoichiometry deviations.
3:00 AM - *Z7.03
P-type Transparent Conducting Oxides: Intrinsic Limitations and Future Directions
David O Scanlon 1
1University College London London United Kingdom
Show AbstractFabrication of high figure-of-merit p-type transparent conducting oxides (TCOs) is a much sought after goal for optoelectronic devices. Realization of a p-type TCO to rival the current industry standard n-type TCOs (e.g. In2O3:Sn, ZnO:Al, SnO2:F ), would open up the possibility of “transparent electronics”. Unfortunately, the discovery of a high performance p-type TCO has been a major stumbling block. In this presentation we will present the results of hybrid density functional theory investigations into the suitability of a range of materials for p-type TCO ability. Specifically, we have investigated binary metal oxides, Cu-Delafossite based TCOs, and Cu-based layered oxychalcogenides. The intrinsic limitations to p-type TCO ability for each material class will be highlighted, with strategies to surpass these limitations discussed.
3:30 AM - Z7.04
MBE Growth and Characterisation of CuCrO2(001) and (015) Thin Films
Russell G Egdell 1 Dongmyung Shin 1 John S Foord 1 Aron Walsh 2
1University of Oxford Oxford United Kingdom2University of Bath Bath United Kingdom
Show AbstractThin films of CuCrO2 have been grown on Al2O3(001) substrates by oxygen plasma assisted molecular beam epitaxy. With a substrate temperature of 700 °C the films showed near perfect (015) orientation but at a higher substrate temperature of 800 °C the expected basal (001) orientation predominated. The unexpected appearance of (015) oriented films is rationalised in terms of favorable surface and interface energies. The optical absorption spectrum of CuCrO2 shows a direct allowed absorption onset at 3.18 eV together with a weak peak at 2.0 eV which is suppressed by Sn doping. This suggests that the low energy structure should be attributed to 3d to 3d excitations associated with Cu(II) defect states rather than excitations localised on Cr(III). Valence band X-ray photoemission spectra of (001) and (015) oriented CuCrO2 are compared with those obtained from polycrystalline samples.
3:45 AM - Z7.05
Limits to Doping of Wide Band Gap Oxide Semiconductors and Related Materials
John Buckeridge 1
1University College London London United Kingdom
Show AbstractWe present a novel approach to treat atomic and electronic defects in wide band gap materials. Our approach is based on the hybrid quantum mechanical/molecular mechanical (QM/MM) embedded cluster model, where a defect and the immediately surrounding region is treated at a QM level of theory while the system remainder is accounted for using polarisable shell interatomic potentials. A unified approach to all localised species with a common reference energy scale proves particularly convenient in the analysis of relative stability of different defects in different charge (oxidation) states. We use this theory to gain insight in electronic and optical processes including activated conduction, fast photoluminescence and absorption in visible and infrared ranges, and related phenomena. One further striking example of the power of the method is presented by our solution to the puzzle: why is it so difficult to achieve p-type doping of wide-gap semiconductor materials exploited in transparent electronic devices? We probe the thermodynamic stability of charge carriers in the balance of defect reactions, demonstrating that positive charge carriers (holes) are unstable with respect to intrinsic defect formation in wurtzite ZnO and GaN. In contrast, SiC shows bipolarity, in agreement with experiment. With this approach, we undertake a survey of main semiconducting materials of interest aimed at identifying suitable candidates for p-type doping.
Z8: Magnetic Oxide Semiconductors
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 200
4:30 AM - Z8.01
Structural and Magnetic Properties of Reactive Magnetron Sputtered ZnO with Cobalt Doping beyond the Coalescence Limit
Verena Ney 1 2 Andreas Ney 1 2 Fabrice Wilhelm 3 Andrei Rogalev 3 Andras Kovac 4
1Johannes Kepler Universitamp;#228;t Linz Austria2Universitamp;#228;t Duisburg Essen Duisburg Germany3ESRF Grenoble France4Ernst Ruska Centre FZ Jamp;#252;lich Germany
Show AbstractOne of the most important methods to tailor the magnetic properties of dilute magnetic semiconductors (DMS) is the concentration of the magnetic dopant. This is usually limited by the finite solubility of magnetic dopants in the respective semiconductor host. For the case of Co in ZnO it was already demonstrated that for reactive magnetron sputtered epitaxial films up to 15% of cationic substitution is feasible without traces of phase separation [1]. Here we will show, that it is feasible to exceed the coalescene limit of the cationic sublattice of the ZnO wurtzite crystal of ~20% reaching doping levels of up to 30%. The resulting structural and magnetic properties as measured using transmission electron microscopy, synchrotron-based spectroscopy, and intergal as well as element-selective magnetometry are presented. They will be discussed with regard to potential coalescence induced ferromagnetism as predicted by theory [2]. [1] A. Ney et al., Phys. Rev. B 81 054420 (2010). [2] S. Nayak et al. J. Phys. Condens.Matter 21, 064238 (2009).
4:45 AM - Z8.02
On the Magnetic Behaviour of Nanocrystalline Pr0.5Ca0.5MnO3: An Experimental Probing
Srinivasa Rao Singamaneni 5 1 2 S. Narayana Jammalamadaka 4 Andre Stesmans 2 S.v Bhat 3 J. Vanacken 4 V.v Moshchalkov 4 J.t Prater 5 1 J. Narayan 1
1North Carolina State University Raleigh USA2INPAC - Institute for Nanoscale Physics and Chemistry, Semiconductor Physics Laboratory, K.U. Leuven, Celestijnenlaan 200D, 3001 Leuven Belgium3Department of Physics, Indian Institute of Science, Bangalore India4INPAC - Institute for Nanoscale Physics and Chemistry, Pulsed Fields Group, K.U. Leuven, Celestijnenlaan 200D, 3001 Leuven Belgium5Materials Science Division, Army Research Office, Research Triangle Park Durham USA
Show AbstractThe perovskite charge ordered (CO) manganites have been shown1,2,3 to exhibit novel properties upon size reduction down to nanoscale, including the suppression/weakening of the CO antiferromagnetic (AFM) phase and appearance of weak ferromagnetism. In the current work, we chose one such nanocrystalline material, Pr0.5Ca0.5MnO3 with the average particle size of 10 nm, labeled as PCMO10. A combination of static and pulsed (~ 50 T) magnetization measurements have been performed so as to uncover its previously unreported intrinsic magnetic nature and associated magneto-thermodynamic properties. Our experimental findings4 demonstrate that PCMO10 exhibits (a) oscillatory exchange bias (OEB) and is tunable as a function of cooling field strength, attributed to the formation of charge/spin density wave (CDW/SDW), (b) a pronounced training effect, which can be described by power-law behavior, (c) the saturation field required for the saturation magnetization of PCMO10 (sim; 250 kOe) is found to be reduced in comparison with that of bulk PCMO (sim;300 kOe), (d) PCMO10 is shown to reveal a Martensite-like transition and spin-glass behavior, (e) size induced second order magnetic phase transition, and (f) magnetic field dependent magnetic entropy. In this talk, we present and discuss our comprehensive experimental results collected on PCMO10 in light of the size-induced magnetic properties of CO manganites. 1S. S. Rao et al., Appl. Phys. Lett., 87, 182503 (2005); 2S. Dong et al., Appl. Phys. Lett., 90, 082508 (2007); 3S.S.Rao et al., Phys. Rev. B 74, 144416 (2006); 4S.Narayana et al., AIP ADVANCES 2, 012169 (2012). *[email protected]
5:00 AM - Z8.03
Defect-induced Conduction Mechanisms in Spinel-type Ferrites
Kerstin Brachwitz 1 Michael Lorenz 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractFerrites constitute a class of materials that has been recognized to have significant potential in applications ranging from millimeter wave integrated circuitry to magnetic recording [1]. Zinc ferrite (ZnFe2O4), cobalt ferrite (CoFe2O4) and nickel ferrite (NiFe2O4) are promising candidates for the application in magnetic tunnel junctions (MTJs) and spin filter materials [2-4]. The tunability of the electrical conductivity (as reported for zinc ferrite [2]) makes ferrite thin films capable for both, the application as conducting electrode and as insulating barrier in MTJs. In this respect we have investigated ZnFe2O4, CoFe2O4 and NiFe2O4 thin films grown by pulsed-laser deposition (PLD). These thin films crystallize in cubic spinel structure. The studies include the dependence of structural properties as well as electrical characteristics on the growth parameters. Stoichiometric PLD targets were mixed, pressed and sintered from high-purity ZnO- (or CoO-, NiO-) and Fe2O3-powders. In this study we have varied the growth temperature (TS) by controlling the heater power (PH) in a range from 400°C (PH = 150 W) to 730°C (PH = 700 W). The ferrite thin films were grown on (100)-oriented strontium titanate (SrTiO3) single crystals at p(O2) = 5×10-5 mbar. The preferential (100) out-of-plane orientation of the films and the lattice constant a were obtained by X-ray diffraction (XRD) and temperature dependent resistivity measurements have been conducted at a Hall effect setup. XRD measurements reveal a decreasing 2Θ-angle of the (400) ferrite reflection and thus an increasing out-of-plane lattice constant with decreasing substrate temperature for all investigated thin films. The film thickness of about 200 nm and the large lattice mismatch between ferrite film and SrTiO3 substrate leads to strain relaxation and Stranski-Krastanov growth. The resistivity of the thin films can be tuned by different substrate temperatures during growth. The electrical conductivity increases with decreasing heater power for all investigated ferrite materials. Similar dependencies have been observed earlier for n-type ZnFe2O4 thin films [2, 5]. In this contribution we present, that the conduction mechanism is not only affected by electron hopping between Fe2+ and Fe3+ but also defects like oxygen vacancies and structural disorder have great influence on the electrical conductivity [5]. The temperature dependence of the electrical conductivity of CoFe2O4 and NiFe2O4 is similar to that of ZnFe2O4 [2]. It is thermally activated and a model with two activation energies (EA1 asymp; 50 meV, EA2 asymp; 80-120 meV) fits the temperature dependent conductivity best. [1] Y. Suzuki, Annu. Rev. Mater. Res. 31, 265 (2001). [2] M. Lorenz et al., Phys. Status Solidi Rapid Res. Lett. 5, 438 (2011). [3] A.V. Ramos et al., Appl. Phys. Lett. 91, 122107 (2007). [4] U. Lüders et al., Adv. Mater. 18, 1733 (2006). [5] A. Marcu et al., J. Appl. Phys. 102, 023713 (2007).
5:15 AM - Z8.04
Quantification of Magnetic Exchange in Cobalt-doped ZnO via Element-selective High-field Magnetometry
Andreas Ney 1 3 Verena Ney 1 3 Fabrice Wilhelm 2 Andrei Rogalev 2 Klaus Usadel 3
1Johannes Kepler Universitamp;#228;t Linz Austria2ESRF Grenoble France3Universitamp;#228;t Duisburg Essen Duisburg Germany
Show AbstractEpitaxial cobalt-doped ZnO (Co:ZnO) films have been grown by reactive magnetron sputtering resulting in phase-pure, paramagnetic films [1]. We have measured magnetization curves for 5%, 10%, and 15% Co:ZnO films in both principal crystallographic orientations using superconducting quantum interference device (SQUID) magnetometry revealing anisotropic paramagnetism with a small single ion anisotropy of D = 3 K [2]. These measurements can now be complemented using the element specific x-ray magnetic circular dichroism (XMCD) technique. The XMCD(H) curves do not saturate up to the highest achievable field of 17 Tesla which directly evidences the previously inferred [3] antiferromagnetic exchange between neighboring Co dopant atoms. These angular dependent XMCD(H) measurements in combination with theoretical calculations based on a well-established model Hamiltonian allow to determine both the next neighbor exchange J as well as the single ion anisotropy D quantitatively. While for 5% and 10% Co doping J = 15 K and D = 3 K, for 15% Co doping they are reduced to 10~K and 2~K, respectively [4]. The reduction is discussed with respect to the formation of large dopant cluster configurations. [1] A. Ney et al., New J. Phys. 12, 013020 (2010). [2] A. Ney et al., Phys. Rev. B 81, 054420 (2010). [3] P. Sati et al. Phys. Rev. Lett. 98, 137204(2007). [4] A. Ney et al. Phys. Rev. B 85, 245202 (2012).
5:30 AM - Z8.05
Band Gap Engineering and Room Temperature Ferromagnetism of MgxZn1-xO Thin Films
K. V Rao 1 M. K. Srikanth 1 Jincheng Fan 1 Anastasia Riazanova 1 Lyubov Belova 1
1Royal Institute of Technology Stockholm Sweden
Show AbstractReports on ferromagnetic MgO thin films are of recent origin [1]. Intrinsic defects, and cat-ion vacancies have been attributed to give rise to room temperature ferromagnetism in pristine MgO, and ZnO thin films [1,2]. It is found that the interplay of the defects and vacancies results in a maximum in the magnetization (as high as 0.62 emu/g for a 480nm thick ZnO, and 1.6 emu/g for a 170 nm thick MgO sputtered thin films respectively) that is film thickness dependent. As expected, because of the differences in the nature of process dependent defect structures, we find these parameters are different for films deposited by Pulsed Laser deposition, as well as ink-jet printing while the general characteristics of the magnetization versus film thickness are similar. In such a matrix of ZnO and MgO if we dope them with a transition metal Like Mn, and Fe the consequent additional p-d exchange coupling is found to enhance [3] the magnetization significantly so much so that the UV device characteristics based on these oxides can be controlled by external magnetic fields. The above described possibility gives rise to many new potential devices and applications. In order to combine the properties of MgO and ZnO we have investigated the consequence of tuning the band gap energy in the range 3.37 to 7.8 eV by incorporating Mg into thin film of ZnO to form MgxZn1-xO films while still retaining the wurtzite-type crystal structure of ZnO. The films were deposited by rf /dc magnetron sputtering, Pulsed laser deposition, and ink-jet printing respectively, in order to ensure that no artifacts of impurities effect the observed magnetic properties. The films are characterized by small angle XRD, SQUID, Optical and extensive magnetic measurements. The nature of the micro-nanostructure of the film and film thicknesses are determined using a Dual Beam UHR SEM/FIB system (FEI Nova 600 Nanolab), and Surface Probe Microscopy techniques. We will present the highlights of the tunable magnetic properties as a consequence of band gap engineered MgxZn1-xO films and the characteristics of a field controlled UV detector. * research supported by Swedish Agencies VINNOVA and Carl Tryggers Foundation 1 G. Moyses Araujo et al , APL 96, (2010) 232505 2 Mukes Kapilashrami et al, APL 95, (2009) 03314 3 T.Kataoka et al. APL 99, (2011) 132508
5:45 AM - Z8.06
The Ferromagnetism of Diluted Zn1-xGdxO (x = 0.005, 0.01 and 0.5) Thin Films
Iman S Roqan 1 Venkatesh Singaravelu 1 Shamima Hussain 1 Ioannis Bantounas 1 Udo Schwingenschlogl 1 M. Yousefi 2 J. Franklin 2 Mary P Ryan 2 Martyn A McLachlan 2 Neil M. Alford 2
1King Abdullah University of Science and Technology Thuwal Saudi Arabia2Imperial College London London United Kingdom
Show AbstractA combination of spintronics with semiconductor nanotechnology offers a route to quantum computing, which in turn would revolutionize the performance of computing devices. The attracted candidate materials are diluted magnetic wide band gap semiconductor such as ZnO and GaN due to its high Curie temperatures (> 300K). However, ZnO has an advantage over GaN system due to the availability of latticed-matched substrate. This allows flexibility in controlling the defects. Our objective is to achieve room temperature ferromagnetism in Gd doped ZnO thin films. We prepared Gd doped ZnO thin films and studied their structural and magnetic properties. All thin films were deposited on a- plane sapphire substrates by pulsed laser deposition employing a KrF excimer laser (lambda;= 248 nm) at different O2 pressures and substrate temperatures. XRD and HR-TEM analyses revealed that all the films were quasi epitaxial, oriented along the c-axis of the ZnO. In addition, TEM and magnetic measurements did not show any trace of secondary phase. Magnetization measurements carried out using a SQUID-VSM. Surprisingly, ZnO:Gd thin films grown at low O2 pressure (le;25 mTorr) and with low Gd concentration (le;0.1 at%) showed strong ferromagnetic behaviour at a temperature above room temperature (up to 12 mu;B /Gd at RT). However, the second set of samples that showed clusters, they exhibited a superparamagnetic like magnetization behaviour at 5K and ferromagnetic like at RT. The origin of the RT ferromagnetism will be investigated for both sets; and whether this is intrinsic behaviour or results from the coexistence of a magnetic. A superparamagnetic phase is being analysed using different microstructure, magento-transport and spectroscopic studies to understand the magnetic mechanism.
Z9: Poster Session: Oxide Devices
Session Chairs
Andre Schleife
Tim Veal
Steven Durbin
Martin Allen
Tuesday PM, November 27, 2012
Hynes, Level 2, Hall D
9:00 AM - Z9.01
Characteristics of a Mott Transistor Based on La1-xSrxMnO3
Suyoun Lee 1 Keundong Lee 1 2 Hyojin Gwon 1 Seung-Hyub Baek 1 Jin-Sang Kim 1 Baeho Park 2
1Korea Institute of Science and Technology Seoul Republic of Korea2Konkuk University Seoul Republic of Korea
Show AbstractAs the limit of scaling-down of Si-based CMOS technology is approached, several devices have been considered as promising candidates for the next generation active devices. Among them, the Mott transistor, using the metal-insulator transition shown in Mott insulators, has attracted much interest due to its large on/off ratio and very fast switching speed on the order of femtoseconds. Although several Mott insulators have been successful in being applied in Mott transistors, there is little work on La1-xSrxMnO3(LSMO), which is one of the mostly studied Mott insulators and attractive for the potential application in spintronic devices due to its intriguing properties such as colossal magnetoresistance (CMR) and half-metallicity. In this work, we fabricated LSMO-based Mott field effect transistors(FET) with the back-gate structure and investigated their characteristics depending on the Sr-doping concentration, the gate insulator material, and the temperature. For the devices with the composition near the boundary of the metal-insulator transition, we confirmed that the conductivity of the channel could be highly modulated by a gate electric field of moderate strength implying the feasibility of this material for the practical applications. In addition, for the future application in spintronic devices, we investigated the changes of characteristics under the applied magnetic field. As the applied magnetic field increased, we found that the current-voltage characteristic started to show anomalous behavior which was attributed to the electron-electron interaction, spin ordering, and the magnetic impurities in the channel.
9:00 AM - Z9.02
Growth of Transparent p-type Tin Oxide Thin Films for Application to p-channel Oxide Thin Film Transistors
Sang-Yun Sung 1 Chul-Young Kim 1 Joon-Hyung Lee 1 Jeong-Joo Kim 1 Young-Woo Heo 1
1Kyungpook National University Daegu Republic of Korea
Show AbstractRecently, thin film transistors (TFTs) using oxide semiconductor channels have been intensively investigated because oxide semiconductors such as ZnO, In2O3-ZnO (IZO), In2O3-Ga2O3-ZnO (IGZO), etc can be formed at low temperature (< 300oC) and show larger mobilities (> ~10cm2/Vs) than those of hydrogenated amorphous silicon TFTs. In addition, the oxide semiconductor thin films can be formed by conventional sputtering methods which are compatible with large-area fabrication and show excellent uniformity. However, most of high-mobility oxide semiconductors show n-type conduction; only limited number of oxides such as Cu2O, NiO, SnO, CuMO2 (M=Al, Ga, In, and Cr), SrCu2O2 etc exhibit p-type conduction. Compared to those materials, SnO has a layered crystal structure with a Sn-O-Sn sequence and a van der Waals gap between Sn layers, where O atoms are tetrahedrally bonded to Sn ones. In this case the higher energy region of valence band maximum (VBM) contains Sn 5s, Sn 5p, and O 2p components nearly equally but very near the VBM the contributions of Sn 5s and O 2p are predominant and can lead to an enhancement in mobility. This is advantageous in reducing the localization of the valence band edge, since the O 2p component is relatively small and the states near the conduction band minimum (CBM) are mainly formed by Sn 5p. SnO could also be a better native p-type oxide semiconductor because the origin of p-type conduction is mainly attributed to Sn vacancies (VSn2-) and O interstitials (Oi2-), that when fully ionized, produce band deformation close to the top of VBM with the formation of acceptor like band state at T > 0. As a result, SnO is a simple oxide and good candidate for p-type semiconductor due to its Sn 5s nature at the VBM. In this study, the p-type SnO thin films were fabricated by reactive RF magnetron sputtering and post-annealing treatments. Tin oxide thin films deposited at room temperature as a function of oxygen partial pressures (O2/(Ar+O2), Opp) showed amorphous phases except the thin film deposited in Opp of 1%. The thin film deposited in Opp of 3% and followed by annealing treatment at 300oC in air exhibited a single-phase polycrystalline α-SnO phase. The SnO phase was also defined by XPS. After annealing treatment at 300oC, n-type electronic properties of the as-deposited thin films changed to p-type characteristics. The opaque color of the thin film deposited in Opp of 3% at room temperature changed to transparent color in visible light region as the annealing temperature increased. The optical bandgap (Eg) of the p-type SnO thin film was observed to be about 2.8 ~ 2.9 eV. We will also discuss the structural, optical, and electrical properties of tin oxide thin films deposited as a function of growth temperature and the fabrication of p-channel oxide thin film transistors using the obtained tin oxide thin films.
9:00 AM - Z9.03
Learning from the Trap-filled Limit in a-ZnO TFTs
Simon Bubel 1 Michael Chabinyc 1
1University of California Santa Barbara Santa Barbara USA
Show AbstractCompared to a-Si and organic semiconductors, there are only a few studies about the detailed transport in amorphous zinc oxide semiconductors. Due to a more steep decay of subgap acceptor states in zinc based metal oxides, many analytical models borrowed from a-Si and organics do not describe the transistor behavior correctly. We discuss the consistency of the amorphous ZnO subgap DOS derived from different methods applied to classic bottom gate and ionic liquid gated transistors. We address the gate voltage dependent mobility as well as the conduction band mobility and saturation of the mobility at high fields. The a-ZnO films used in this study are processed in atmosphere and using a precursor which decomposes at 125 °C. The thin films exhibit a thickness of 6 nm and a saturation mobility of about 1 cm2/Vs.
9:00 AM - Z9.04
Nanocables Composed of TiO2 Nanofibers Wrapped in UV-light Reduced Graphene Oxide and Their Enhancement of Photoinduced Electron Transfer in Photoanodes
Yunqian Dai 1 Yao Jing 1 Wei Jiang 1 Qi Qi 1 Yueming Sun 1
1Southeast University Nanjing China
Show AbstractA graphene sheet is an excellent electron-acceptor with superior conductivity due to its two dimensional (2D) π-conjugation structure. The wrapping of a 1D graphene sheet around an inorganic nanofiber is still unexplored, and its predicted extraordinary properties (such as superior electron transfer abilities) are unconfirmed. In this work, we report a facile method for fabricating a new nanocable structure consisting of reduced graphene oxide (RGO) wrapped anatase TiO2 nanofibers by UV-light photocatalytic reduction. The nanocable was prepared by injecting 0.8 g/L aqueous graphene oxide colloid into 0.8 g/L electrospun TiO2 nanofibers in ethanol, followed by a mild UV light irradiation for 20 h. The conformal wrapping of RGO is a result of noncovalent interactions (van der Waals and electrostatics). The RGO walls of the nanocables exhibited a uniform thickness of ~5 nm. Half of the oxygen groups were removed and the partially reduced RGO was favored to be well dispersed in water. The thickness of the RGO nanowalls could be precisely controlled between 3 and 5 nm by simply adjusting the concentration of the GO, while the reduction degree of the RGO was found to be inversely proportional to such concentration. The invariability of the ID/IG ratio of the D to G bands in Raman spectra proposed the presence of a “defect-repair” process in the reduction. We further demonstrated the enhancement of photoinduced electron transfer and depression of electron combination by integrating the RGO/TiO2 nanocables into TiO2 photoanodes. The enhancement of photocurrent in the photoanodes integrated with an optimized 5 wt% RGO/TiO2 nanocables results in longer electron lifetime and a 2/3 reduction of the charge transfer resistance (Rct). Their excellent electrical characteristics prove the nanocable to be a good candidate for the collection and transport of electrons in electrodes. The synthesis strategy presented here should be applicable to other RGO wrapped 1D nanostructures with different compositions and diameters, and allows for a range of photovoltaic devices and energy storage applications.
9:00 AM - Z9.05
Band Alignment in Transparent Conducting Oxide Schottky Junctions
Rafael Jaramillo 1 Shriram Ramanathan 1
1Harvard University Cambridge USA
Show AbstractControl of band alignment in oxide-semiconductor heterostructures is essential for improving the performance of devices such as sensitized solar cells and quantum dot based light emitting devices. We will present studies of Schottky junctions formed between Al-doped ZnO (AZO) conducting oxide thin films and lightly doped silicon. AZO films with varying oxygen content have been synthesized by control of oxygen pressure during synthesis. Transport measurements (I-V and C-V) on devices are used to illustrate the degree to which the oxide stoichiometry can be used to engineer the junction characteristics. Connection between oxygen stoichiometry and properties pertaining to electrical conductivity and optical transparency will also be discussed.
9:00 AM - Z9.06
Thin Film Heater Durability for Tin Dioxide Ethanol Sensors on MEMS Membrane
Yasuyuki Kageyama 1 Hideya Yamadera 1
1Toyota Central Ramp;D Labs. Nagakute Japan
Show AbstractIn order to reduce fatalities and injuries due to alcohol-related motor vehicle crashes, advanced vehicle-based alcohol detection systems for drivers is being demanded. The key technologies to realize this system is sensing of ethanol vapor contained in the driver's breath with low power consumption. To match this requirement, semiconductor gas sensor elements have been prepared with micro electro mechanical system (MEMS) technology. The MEMS sensors which involve thin film heaters were prepared and the heating properties and durability of this heater were evaluated. The MEMS heaters made of LPCVD-poly-Si thin films (300nm), which had been designed to be operated to 400°C at consumed power of a few tens mW, were formed on PCVD-SiO2 (200nm) / LPCVD-SiN (200nm) /thermal SiO2 (400nm) /Si wafer. After ion implantation, activation annealing, and patterning of the poly-Si films, intermediate insulation layers of PCVD-SiO2 (300nm), which separate the heater and the sensor layer, were formed. The sensing material, SnO2 (100nm) was formed by rheotaxial growth and thermal oxidation process, so, first Sn metal layers were deposited and patterned by a lift-off method and then the metal layers were oxidized in the oxygen ambient at 600deg for 6 hours. The insulation layers were patterned to obtain electrical contact windows, and electrode metals, Pt (200nm)/TiN (30nm)/Ti (15nm), were formed by a lift-off method. Finally backside of the Si wafers was partially removed by the Bosch process to form the membrane structure (up to 500mu;m square) of the MEMS sensor. The heater temperature during a current flow was calibrated by measuring the heater resistance at elevated temperature. Durability of the poly-Si heaters were evaluated with constant current using a source measure unit. The current value was determined to give rise of the heater temperature to 400deg. The typical value of the current was 13 mA (53mW). The heater was kept under the current flow for all day long. The resistance of the heater gradually rises after long period of time, and finally sudden rise of the resistance occur, which means breakage of a part of the electrodes. The life time of the heater is determined the duration until the breakage. Beyond the sensors which were fabricated along with the process mentioned above, another two types of the heater were evaluated; one is annealed at the oxidation for 10 hours, and the other has thicker Pt electrode (500nm). The life time of the heaters was the longest (110days) in the case of 10 hours oxidation and 200nm Pt. The thicker Pt heater could be operated for 41days, and the life time of the heater made by a standard process was 15days. This means that during the Sn oxidation process a large amount of -OH functional groups is which is contained in the as deposited PCVD-SiO2 layer were emitted during the annealing and oxidized the Ti layer. The sensor performance to 40ppm ethanol was also confirmed after the durability test.
9:00 AM - Z9.07
Fabrication of a p-NiO/n-Si Heterojunction Diode by UV Oxidation of Ni Deposited on n-Si
Dongyuan Zhang 1 Kazuo Uchida 1 Shinji Nozaki 1
1University of Electro-Communications Tokyo Japan
Show AbstractNickel oxide NiO is one of few oxide semiconductors which can have the p-type conductivity and can form a pn junction with another oxide semiconductor. Although the method mostly commonly used to deposit oxide semiconductors such as ZnO and NiO is sputtering, the plasma damage often deteriorates the quality of the oxide semiconductor films. We have developed the ultraviolet (UV) oxidation technique to form NiO by oxidation of metallic nickel (Ni). This technique can be applied to form various oxide semiconductors including ZnO. In order to assess the UV oxidation technique, the metallic Ni deposited on an n-Si substrate with resistivity of 4 - 6 #8486;cm was oxidized to form a p-NiO/n-Si heterojuction diode. The 50 nm-thick Ni film was oxidized in oxygen atmosphere at 350 oC under UV illumination using a metal halide lamp. The oxidation time was long enough to completely oxidize Ni, and the thickness of NiO measured using a dektak profilometer and ellipsometer was about 100 nm. Platinum was deposited to form a NiO ohmic contact with a diameter of 300 mu;m, and the back side of silicon was intentionally scratched to facilitate an ohmic contact electrode with gold. Both metal-semiconductor contacts were confirmed to be ohmic. The I-V characteristic of the diode shows rectification with a difference of two orders of magnitude between forward and reverse currents at 2 V. The reverse leakage current density was 2 x 10-5 A/cm2 at 2 V. It is higher by one order than that reported earlier for the p-NiO/n-Si diode formed by thermal evaporation of NiO powder on n-Si [1] but much lower than that of the diode formed by sputter-depositing of NiO on n-Si [2]. The C-2 vs. V plot made from the capacitance measured as a function of reverse voltage shows a good linearity. Such linearity was not reported either for the p-NiO/n-Si diode by thermal evaporation or sputter deposition of NiO. The impurity concentration calculated from the slope with the assumption that the depletion region extends only in Si because of highly doped p+-NiO agrees with the donor concentration calculated from the resistivity of Si. The diode formed by UV oxidation of Ni seems to have an abrupt p+n junction. The I-V characteristics were also measured under illumination using laser diodes with various emission wavelengths. The photocurrent depends on the reverse bias, and its dependence is less for a shorter wavelength. Since light with a shorter wavelength is more absorbed near the surface and the metallurgical pn junction, the photocurrent is less sensitive to the depletion width. The voltage-dependent photocurrent for a longer wavelength is attributed to the short diffusion length of holes in n-Si. It was found that the small diffusion length was caused by Ni diffusion during UV oxidation. References [1] J-M. Choi and S. Im, Appl. Surface Science 244, 435 (2005). [2] Y. Nishi, T. Iwata and T. Kimoto, Jpn. J. Appl. Phys. 50, 015802 (2011).
9:00 AM - Z9.08
The Effects of Structure on the Formation of Schottky Barriers at Nanoparticle-oxide Interfaces
Ramsey Kraya 1
1University of Pennsylvania Philadelphia USA
Show AbstractThe electrical properties between Au nanoparticles and oxide substrates are investigated using scanning probe techniques. A series of annealing techniques results in smooth oxide surfaces and a truncated octahedron nanoparticle morphology, resulting in two distinct interfaces that are identifiable in atomic force microscopy images. Transport measurements are utilized to compare the effect of the two structures on the formation of Schottky barriers. One orientation exhibits deviations from ideal thermionic emission while the other shows strong similarities to large area Schottky contacts. It is thus shown that controlling the interface structure is of utmost importance to controlling nanoscale Schottky barriers.
9:00 AM - Z9.10
Magnetic Tunnel Junctions Based on Zinc Ferrite and Cobalt
Michael Bonholzer 1 Kerstin Brachwitz 1 Jan Zippel 1 Annette Setzer 1 Pablo Esquinazi 1 Michael Lorenz 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractMagnetic tunnel junctions (MTJs) will play an important role in future computer architectures based on spintronic systems. The spinel zinc ferrite (ZnFe2O4, ZFO) shows high magnetic moment and tunable semiconducting properties, making it a promising material for oxide MTJ structures [1-4]. Here e present first devices built from zinc ferrite, magnesium oxide (MgO) and cobalt thin films exhibiting a TMR of 25%. Zinc ferrite, acting as soft magnetic bottom electrode, was grown by pulsed laser deposition (PLD) on MgO (1 0 0) substrates. A smooth surface and a rather high conductivity of ZFO is achieved at low oxygen pressures (5×10-5mbar) and a substrate temperature of about 400°C during PLD-growth [1, 4]. Conductivity and magnetization are related to oxygen vacancies [4], formed under oxygen-deficient conditions. X-ray measurements suggest an epitaxial growth of zinc ferrite on MgO. The barrier material MgO was also grown by PLD at a substrate temperature of 400°C in order to avoid further heating of the zinc ferrite layer which would lead to a decrease of conductivity. To get smooth surfaces, oxygen pressure during growth was set to 2×10-3mbar. The cobalt top-electrode, which serves as hard magnetic electrode, was fabricated by thermal evaporation. The MTJ-structure was defined by multi-step photolitography, using crossed-over masks in order to limit the contact area to 50×50mu;m2. Current-voltage measurements were performed and the curves were fitted by the Simmons-[5] and Brinkman-Model [6]. The obtained parameters t (barrier thickness) and Phi; (barrier height) did not correspond to the real barrier thickness and show a strong correlation. This might be due to an imperfect barrier. Current-voltage measurements in dependence of an external magnetic field were performed and a tunnelmagneto resistance TMR asymp; 25% was found. This result shows the suitability of zinc ferrite as magnetic electrode in oxide MTJs and other spintronic applications. [1] M. Lorenz et al., Phys. Status Solidi RRL 5, 438 (2011); [2] A. Marcu, et al., J. Appl. Phys. 102, 023713 (2007); [3] Y. F. Chen et al., J. Phys D - Appl. Phy. 41, 205004 (2008); [4] C.E. Rodríguez Torres et al., Phys. Rev. B 84, 064404 (2011); [5] J.G. Simmons, J. Appl. Phys. 34, 1793 (1963); [6] W.F. Brinkman, J. Appl. Phys. 41, 1915 (1970);
9:00 AM - Z9.11
Nanostructure Metal Oxide for H2S Gas Sensing Application in Room Temperature
Kasyful Fuadi 1 Daejong Yang 1 Inkyu Park 1 Chong-Ook Park 2
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Republic of Korea2Korea Advanced Institute of Science and Technology (KAIST) Daejeon Republic of Korea
Show AbstractThis paper explains the fabrication of hydrogen sulfide (H2S) gas sensor based on CuO nanostructures fabricated via selective hydrothermal process. The procedure started by making integrated sensing electrodes and microheaters by lithography as sensor platform. Then, CuO nanostructures as sensing material were synthesized selectively by local heating directly on top of sensing electrodes. The simple hydrothermal reaction occurred at relatively low temperature and resulted in uniform, high-density networks of spike-like nanostructures of CuO over the heated area. Each individual spike has base width (sim;300 nm) and length (sim;700 nm) tapering to sharp tips. The material characteristics of synthesized CuO nanostructures were analyzed by XPS and EDS. Based on the XPS spectra, initial structure consisted of CuO and Cu2O. After annealing at elevated temperature (300°C), the structures were converted to fully oxidized CuO. Also, two dominant peaks were observed as Cu and O element with no observable impurities through EDS spectra. In order to study the material growth process, we varied growth time from 0.5 to 10 min. It was found that 5 min growth time gave optimum sensing performances. Interestingly, high sensitivity (ΔR/R = 159 %) and fast response (tau; sim; 200 s) were measured by exposing 9.4 ppm of H2S gas at room temperature. Then, the sensors were tested to H2S environment with concentration ranging from 9.4 ppm down to 600 ppb. Sensor showed responses of ΔR/R= 74, 56, 40, 22, and 8% when gas concentration was varied as 9.4, 6.3, 3.13, 1, and 0.6 ppm, respectively. Good response and recoverability were recorded. Furthermore, a linear curve of response vs. concentrations (1 - 9.4 ppm) was obtained in logarithmic plot. This suggested the oxygen molecule adsorption/desorption on CuO surface as the sensing mechanism. The stability of sensor was studied by continuous monitoring over 24 hours in room temperature and elevated temperature using ambient atmosphere, and no sensor degradation was observed. We also found that at higher temperature (T > 60°C), CuS layer was formed on the surface of CuO caused the irreversible drop of resistance and increased surface roughness. The CuS formation was confirmed by XPS. CuS has natural metallic behaviour that acts as electrical pathway on the CuO surface and reduces the resistance.
9:00 AM - Z9.12
Piezotronic Nanowire Based Logic/Memory Nanodevices for Flexible Self-powered Intelligent Micro/Nano-system
Wenzhuo Wu 1 Zhong Lin Wang 1
1Georgia Institute of Technology Atlanta USA
Show AbstractUtilizing the piezotronic effect, piezoelectrically-trigged integrated electromechanical logic devices and piezoelectrically-modulated resistive memory are achieved for the first time using n-type ZnO NWs only. Taking advantage of the strain-induced polarization charges created at the semiconductor/metal Schottky barrier interface under externally applied deformation due to piezotronic effect, strain-gated transistors (SGTs) have been fabricated, based on which universal logic components such as inverters, NAND, NOR, XOR gates and multiplexer/demultiplexer (MUX/DEMUX) have been demonstrated for performing piezotronic logic calculations. Meanwhile, the switching characteristics of ZnO NW resistive switching devices can be modulated/controlled by applied strain and the logic levels of strain applied on the ZnO NW memory cell can be stored and read out electrically. This research has the potential for implementing integration with NEMS technology to achieve micro/nano-systems capable of intelligent and self-sufficient multi-dimensional operations.
9:00 AM - Z9.13
High-performance IGZO Schottky Diode with Oxygen-treated Metal Contacts
Adrian Chasin 1 2 David Cheyns 1 Soeren Steudel 1 Kris Myny 1 2 Manoj Nag 1 2 Tung Huei Ke 1 Sarah Schols 1 Jan Genoe 1 Georges Gielen 2 Paul Heremans 1 2
1imec Leuven Belgium2KULeuven Leuven Belgium
Show AbstractAmorphous oxide semiconductors (AOS) are considered prime candidates as channel material for thin-film transistors for display backplanes, because of their superior charge carrier mobility compared to amorphous silicon or organic semiconductors, combined with their low-temperature processability [1]. Another application field for oxide TFTs is thin film circuits on foil such as RFID tags. Significant work has been devoted to TFT fabrication, modeling and understanding charge trapping and related instability. However, for some building blocks such as rectifiers and photosensors, diodes are required. Considering that AOS are mostly n-type only semiconductors, Schottky diodes are the most straightforward choice. Hereby, the challenge lies in realizing a blocking contact. The injection from a metal into AOS is less problematic because of the high defect density below the transport level in amorphous semiconductors. Here, we present an investigation of the electrical, morphological and chemical properties of amorphous IGZO (Gallium-Indium-Zinc Oxide) Schottky diodes using a range of metals with high work functions: Au, Pd and Pt. We establish with XPS, TEM and current-voltage (IV) measurements a clear relationship between oxidizing pre-treatments for the metal electrode and the resulting barrier height. Upon a defined time annealing step in air, we obtain an optimal IGZO film with low ratio of subgap traps to free charge density. We succeeded in realizing a barrier height approaching the theoretical value of the ideal Schottky model. This has resulted in state-of-art diodes with high rectification ratios (> 107), high current densities (103 A/cm2 at a forward bias of 2V) and high reverse breakdown voltages (~|16|V). Moreover, temperature dependence IV measurements showed that the Schottky barrier energy height is constant until 168K. It means that the Schottky barrier does not change within this temperature range and the IV curves can be explained and fitted by a uniform barrier Schottky model, without need to assume surface potential fluctuations . Finally, it was observed that upon constant annealing in air, the properties of the diode deteriorate significantly. By analyzing the devices with IV and capacitance-voltage methods, we concluded that the degradation is due to the increase of ionized atoms&’ density within the depletion region and the built-in voltage of the diodes, which contribute to the Thermionic Field Emission (TFE) participation to the pure thermionic emission transport. [1] K. Nomura et al., Nature 432, 488 (2004).
9:00 AM - Z9.15
Synthesis and Photocatalytic Properties of High-surface-area Mesoporous TiO2 Nanoparticles Assemblies
Gerasimos Armatas 1 Ioannis Tamiolakis 1
1University of Crete Heraklion Greece
Show AbstractThe directed self-assembly of nanoparticles (NPs) into mesoscopic porous structures is one of the foremost challenges in materials chemistry and nanotechnology. Although individual metal-oxide NPs have tremendous application potential in many technological areas (catalysis, magnetism, electronics etc.), their low surface area and tendency for clustering limit the applicability of these nanomaterials, especially in adsorption and catalysis. Three-dimensional NP assemblies with well-connected nanopores running through them promise a different kind of porous materials with advantageous characteristics. These materials are expected to achieve excellent catalytic and optoelectronic properties and yet possess additional characteristics such as size-selective adsorption and molecular recognition of substrate. Titanium dioxide (TiO2) is a very important material for photocatalysis, photovoltaic, and electrochromic applications owing to its unique photocatalytic and opto-electronic properties. Recent efforts to improve photocatalytic performance have been focused on synthesis of nanometer-sized TiO2 particles, which possess high crystallinity and large surface area. Generally, the surfactant-assisted post-synthesis aggregation route is proven to be effective in creating porous assemblies from nanoparticles. Following this approach, several organic amphiphilic molecules, like charged small molecules and block or graft copolymers, have been used as templates to direct the assembly of titanium precursors or pre-synthesized TiO2 nanocrystals into mesostructured ensembles. However, the synthesis of high-surface-area mesoporous assemblies from nanocrystalline TiO2 is not obvious. In this work, we report a facile synthesis of high surface area, high crystalline, nanostructured titania via a surfactant-assisted self-assembly of anatase TiO2 NPs. The synthesis accomplished in one-step chemical process in which the crystallization and assembly of TiO2 NPs into three-dimensional assembled structures takes place. The obtained mesostructure consists of interconnected spherical NPs (ca. 8 nm) and exhibits large and accessible pore surface (ca. 156 m2/g), as evidenced by XRD, SAXS, TEM and N2 physisorption measurements. Interestingly, control of the experimental conditions such as template and time of polymerization greatly affects the structural properties (i.e. pore width, surface area, and nanoparticle diameter) of the resulting materials. We also demonstrate that this synthetic method can be used for producing mesoporous heteroaggregates from CdS and TiO2 NPs as efficient visible light photocatalysts. These TiO2 mesophases have been used under UV-visible light to photocatalyse the O2-mediated oxidation of 1-phenyethanol, showing high reactivity and stability.
9:00 AM - Z9.16
The Effects of Hydrogen and Oxygen during PECVD of Silicon Dioxide Passivation Layers on Indium Gallium Zinc Oxide Thin Film Transistors for Driving Microfluidic Devices
Jiyong Noh 1 Joo Hyon Noh 1 Phillip D. Rack 1 2
1University of Tennessee Knoxville USA2Oak Ridge National Lab Oak Ridge USA
Show AbstractThe effects of Hydrogen and Oxygen on the PECVD passivated In2O3-Ga2O3-ZnO (IGZO) thin film transistors are compared after N2 and air atmosphere annealing. Compensation between the acceptor-like states from the adsorbed oxygen and the donor-like states from hydrogen incorporated during the PECVD SiO2 passivation layer growth is demonstrated as a function of post annealing atmosphere. To explain these tendencies we have investigated secondary ion mass spectrometry to measure the change of hydrogen and oxygen concentration in both the IGZO active layer and SiO2 passivation layers before and after different post annealing atmosphere. The changes of hydrogen and oxygen concentration can be reflected electronic characteristics of IGZO TFTs. In addition, the mechanism of PECVD SiO2 passivation step and post annealing step should be explained by these SIMS data. This study has successfully elucidated the device characteristics after PECVD SiO2 passivation. Through these successful PECVD passivation works of IGZO TFTs we will illustrate the EW characteristics based on standard planar electrowetting on dielectric (EWOD) platform and active matrix driving EWOD device using full integrated IGZO TFTs.
9:00 AM - Z9.17
Improved Switching Response of VO2 Devices Deposited on Silicon Nitride Membranes
Yan Wang 1 John Muth 1
1North Carolina State University Raleigh USA
Show AbstractVO2 is well known for its semiconductor to metal transition at 68 °C, which is associated with an abrupt change of resistance and a decrease in infrared wavelength transmittance. These properties make VO2 an interesting material for novel electronic and optical device applications, such as optical switches, reconfigurable antennas and smart windows. In this work, highly oriented crystalline VO2 films were deposited on different substrates by Pulsed Laser Deposition (PLD). The results show the transition temperature, the width of the hysteresis loop, and the amplitude of the transition depend strongly on the film morphology and stoichiometry. The VO2thin films on sapphire show large amplitude transition (4 orders) and narrow hysteresis, about 8 °C. The transition temperature of heating and cooling are 70 °C and 62 °C respectively. VO2 thin films were also deposited on top of SiNx membrane to construct VO2 devices. On the 200nm thick SiNx membrane, the VO2 films show 3 orders resistance change and a 13 °C wide hysteresis loop during the semiconductor to metal transition. The device switches from semiconductor phase to metal phase by applying a constant voltage across two metal contacts. The transition is caused by joule heating from the current flowing through the VO2 thin films. The transition time of the devices can be controlled by changing the area and the thickness of VO2 thin films. Compared to the devices fabricated on the normal substrates, such as sapphire, silicon or glasses, the switching speed of the devices on membrane is an order of magnitude faster. A 300um × 300um area/100nm thick VO2 device takes about 1000us to reach the fully “on” state. Decreasing the area and thickness of VO2 on top of thinner membranes allows KHz bandwidth to be achieved.
9:00 AM - Z9.18
Vertically Integrated Amorphous-In2Ga2ZnO7 Thin Film Transistor with Sub-micron Channel Length
Sang Ho Rha 1 3 Un Ki Kim 2 Jisim Jung 2 Eun Suk Hwang 2 Byoung Keon Park 2 Yoon soo Jung 2 4 Jung-Hae Choi 4 Cheol Seong Hwang 2
1Seoul National University Kwanakku Republic of Korea2Seoul National University Kwanakku Republic of Korea3Samsung Electronics Co. Ltd. Suwon Republic of Korea4Korea Institute of Science and Technology Seoul Republic of Korea
Show AbstractThe amorphous In2Ga2ZnO7 (a-IGZO) has been widely researched for the thin-film transistors (TFTs) applications due to its optical transparency and superior charge transport characteristics. Recently, it was also reported that a-IGZO has the potential to be used in logic devices and memory applications as alternatives of Si-based electronic devices. However, there are only a handful of reports on the new device&’s structures and its electrical characteristics other than planar devices which are mostly for display applications. In this work, vertically integrated a-IGZO TFTs (V-TFTs) with 310nm channel length were fabricated using a low temperature process (< 300C), and the device performances were evaluated. Vertical integration of transistors has received considerable attention in ultra-high-density NAND flash memory applications. The ultimate goal of this project is to fabricate a multi-layered vertically integrated NAND type flash memory, but the present work reports the initial results of the device fabrication and performance of one-layer V-TFT. The 40-nm-thick a-IGZO channel was deposited by a rf-sputtering process at room temperature on side wall area of etched 300-nm-thick SiO2. Ti, Mo, and heavily-doped n-type Si substrate were used as the gate, drain, and source respectively, and PECVD SiO2 was adopted as the gate dielectric. The channel width was 50 mu;m The drain has a width of 20 mu;m while the source has an effectively infinite area. The fabricated V-TFTs show well behaved transfer characteristics with an Ion/Ioff current ratio of greater than 10^4 and a threshold voltage of 1.7V. However, the fabricated V-TFTs had various parasitic effects due to its short channel length and the structural differences compared with conventional TFTs. To clarify the parasitic effects, the structural effects on the device performance were investigated and the measured electrical characteristics were reproduced by the simulation models. Based on these results, the characteristic variations of V-TFTs in terms of device structure were investigated in detail.
9:00 AM - Z9.19
Effects of the NH Content of PECVD Grown SiNx Gate Insulator on the Electrical Performance and Stability of Ti, B-doped InZnO Thin Film Transistors
Bosul Kim 1 2 Jae-Heon Shin 1 Chan-Hwa Hong 1 Kyung Hyun Kim 1 Nae-Man Park 1 Woo-Seok Cheong 1 2
1Electronics and Telecommunications Research Institute Deajeon Republic of Korea2University of Science amp; Technology Deajeon Republic of Korea
Show AbstractThe research trends of ZnO-based thin film transistors (TFTs) have focused on the high device performance and stability. Both the gate insulator (GI) and the interface between GI and channel layer are known to be important to electrical properties and stability of the TFTs. In this paper, the effects of the NH ion content of the PECVD-grown SiNx GI on the electrical performance and stability of IZO: Ti, B TFTs are presented. The SiNx films were deposited by using PECVD at 320 °C in the ambience of SiH4, NH3, and N2 on ITO patterned glasses. The NH3 flow rate for GI deposition was varied from 20 to 45 sccm for controlling the content of the NH ions. The IZO:Ti,B channel layer was deposited on the SiNx GI by using rf magnetron sputtering method. We have observed a clear change of stability property under positive bias stress depending on the NH3 flow rate during the PECVD deposition. While all the devices show the similar change of subthreshold swing (SS), the change of the threshold voltage (or turn-on voltage) decreases with the NH3 flow rate. The TFT with the lowest NH3 flow rate (20sccm) shows virtually no change of Vth. We suggest that NH related defects in the GI might work as electron trapping centers. Therefore, we confirm again from our experiments that the performance and stability of oxide TFTs could be influenced by the condition of GI.
9:00 AM - Z9.20
Effects of Hydrogen in Amorphous In-Ga-Zn-O TFTs Examined by Ultrahigh Vacuum Sputtering
Takaya Miyase 1 Kay Domen 1 Kenji Nomura 2 Toshio Kamiya 1 Hideo Hosono 1 2
1Tokyo Institute of Technology Yokohama Japan2Tokyo Institute of Technology Yokohama Japan
Show AbstractThin-film transistors (TFTs) using amorphous oxide semiconductor (AOS) channels represented by amorphous In-Ga-Zn-O (a-IGZO) exhibit large field effect mobilities > 10 cm2/Vs even if fabricated at room temperature (RT) [1]; therefore, AOS TFTs are expected for next-generation flat-panel displays such as large organic light-emitting diode displays (OLEDs), high frame rate liquid-crystal displays (LCDs) and flexible displays. Indeed, a-IGZO TFT appears in market this March as a very high-resolution LCD in a tablet PC. In spite of such fast development, there still remain unclarified issues; e.g., we found that significant amounts of H2 and H2O-related species are incorporated in a-IGZO films even if they are not intentionally doped. However, effects and roles of the H-related species for carrier transport and TFT characteristics/stability have been unknown yet. In this study, we have developed an ultrahigh-vacuum (UHV) sputtering system facilitated with a liquid N2 trap and an ion pump in order to minimize the incorporation of the H-related impurities, examined the film properties and TFT characteristics using the high-purity a-IGZO films. The a-IGZO layers were deposited on thermally-oxidized SiO2/n+-Si substrates at RT by the UHV RF magnetron sputtering system at the RF power of 70 W. The base pressure was < 10^-7 Pa. The a-IGZO deposition was carried out at the Ar and O2 total gas pressure of 7.5 mTorr. Then, thermal annealing was subjected at 300 degree C for 1 hour in dry O2, followed by formation of source/drain electrodes having an Au / Ti stacking structure by electron-beam evaporation at RT. The amounts of H2, H2O and other impurities in the a-IGZO films were measured by thermal desorption spectrum (TDS) and secondary ion mass spectroscopy (SIMS). It was found that the unannealed a-IGZO films were so resistive that the TFTs did not enter to an on state. In contrast, the thermally-annealed TFT exhibited superior transfer characteristics (saturation mobility ~10.7 cm2/Vs, threshold voltage ~2.16 V and sub-threshold swing ~109 mV/decade) than the previous TFTs fabricated by a standard-grade sputtering system (~10^-5 Pa). This result implies that the extreme elimination of H-related impurities would further improve characteristics, uniformity, and stability of a-IGZO TFTs. We will discuss more details including the physical origins of the above results at the conference. Ref.1: K.Nomura et al, Nature 432, 488 (2004)
9:00 AM - Z9.21
Microsystems for the Site-selective Growth of Semiconducting Nanowires with Ultra-low Power Consumption
Albert Romano-Rodriguez 1 Jordi Sama 1 Roman Jimenez-Diaz 1 Joan D. Prades 1 Isabel Gracia 2 Joaquin Santander 2 Carles Cane 2 Sven Barth 3
1Universitat de Barcelona (UB) Barcelona Spain2Consejo Superior de Investigaciones Cientificas Bellaterra Spain3Vienna University of Technology Vienna Austria
Show AbstractTremendous efforts to control the formation of one-dimensional semiconductor nanostructures were undertaken due to their potential impact in different fields, such as electronics, sensing, energy harvesting, etc. Several techniques have been successfully employed for the growth of high crystalline quality semiconducting nanowires [1] and various mechanisms are proposed to describe the metal assisted growth mechanism, such as vapor-solid-solid, vapor-liquid-solid, supercritical fluid-liquid-solid and supercritical fluid-solid-solid (SFSS). Most of the aforementioned methodologies are characterized by the high temperatures required for the process to take place. This is usually achieved in a chamber that is heated externally, which presents some drawbacks, such as high power consumption and long heating and cooling times. Micromembranes (closed) and microhotplates (open) with integrated heaters, whose dimensions are in the range between several hundreds of square microns to several square millimeters, are a common type of microsystems that can be fabricated using standard microsystem processing technologies. Key features of such systems are extremely fast cooling and heating processes due to their low mass and can be heated to several hundreds of degree Kelvin using very low electrical powers, typically in the range of mW. In this work the listed properties of micromembranes and microhotplates will be employed for the localized growth of high quality semiconducting nanowires of different materials, such as SnO2, In2O3 and Ge. To the best of our knowledge, this is the first report for the growth of these materials using the technique described above. To date, similar experiments have been reported only on the growth of carbon nanotubes and silicon nanowires [2]. The use of few mW will be shown to be sufficient to achieve the required growth conditions. The growing nanowire bundles bridge the gap between an additional set of interdigital electrodes located on top of the heated membranes und thus leading to in situ contact formation. This dual circuit approach allows us to perform heating and measuring operations independently, which is mandatory for thermally supported devices such as metal oxide gas sensors.[3] An additional advantage of our approach is the in situ contact formation replacing cost and time consuming procedures. We demonstrate the controlled growth on such microsystems by adjustment of the heating conditions. The presented data will demonstrate the enormous potential of such a growth approach. [1] S. Barth, F. Hernandez-Ramirez, J. D. Holmes, A. Romano-Rodriguez, Prog. Mater. Sci. 55 (2010) 563. [2] O. Englander, D. Christensen, L. Lin, Applied Physics Letters 82 (2003) 4797. [3] S. Barth, R. Jimenez-Diaz, J. Sama, J. D. Prades, I. Gracia, J. Santander, C. Cane, A. Romano-Rodriguez. Chem. Commun. 2012, 48, 4734.
9:00 AM - Z9.22
Effect of Dispersal of Pd Nanocatalysts on H2 Sensing Response of SnO2 Thin Film Based Gas Sensor
Manish Kumar Verma 1 Neha Batra 1 Monika Tomar 2 Vinay Gupta 1
1University of Delhi Delhi India2Miranda House, University of Delhi Delhi India
Show AbstractRecently there has been a lot of interest in hydrogen sensors, due to it being the prospective fuel of the future. Hydrogen finds use in many fields like steel manufacturing, space exploration, scientific research, medicine, food products etc. Being an explosive and odourless gas, detection of hydrogen at low concentration is very essential to prevent disaster. Semiconducting tin oxide (SnO2) thin films are the most popular amongst the various semiconductor materials used for H2 gas sensing because of their capability of adsorption and desorption of oxygen from its surface. However, SnO2 based gas sensors lack the selectivity and give poor response which is being improved by use of metal and metal oxide catalysts. Pd is the most widely used catalyst to improve selectivity towards H2 due to its strong affinity towards H2. Film morphology and integration of suitable catalyst plays major role in the enhancement of sensing response characteristics. In the present work, SnO2 thin films were deposited by rf sputtering onto corning glass substrates having interdigital electrodes of platinum patterned on it. As deposited SnO2 thin films were amorphous and became crystalline after post deposition annealing at 300°C. Pd catalyst in the form of nano-clusters and nanoparticles separately were loaded on the surface of SnO2 thin film, and studied for H2 sensing. Pd nanoparticles were prepared by conventional polyol synthesis and dispersed onto SnO2 thin film by spin coating, while Pd clusters of 6 nm thickness were deposited using rf sputtering through a shadow mask of having uniformly distributed pores of 200 mu;m diameter. SnO2 thin films after integration of Pd catalysts were annealed in air at 300°C. Bare SnO2 thin film showed a response of 4.6 towards 500 ppm H2 at an operating temperature of 170°C, exhibiting a response time of 50 s and recovery time of 150 s. A significant improvement in response characteristics of the sensor with Pd cluster was observed and found to be 1.0×103, with a response time of 1 s and recovery time of 130 s at a slightly lower operating temperature of 160°C. Pd nanoparticles dispersed on the surface of SnO2 thin film sensor showed a response of 1.9×103 with a response time of 2 s and recovery time of 65 s at a further low operating temperature of 150°C. The response and recovery characteristics of SnO2 thin films with Pd catalysts in the form of nanoparticles is improved because Pd nanoparticles easily adsorbed oxygen in large amount from the atmosphere after removal of reducing H2 gas and introduction of clean air. The involved sensing mechanism is discussed in detail.
9:00 AM - Z9.23
Improvement in Reliability of a-InGaZnO Thin Film Transistors with New SiNX Gate Insulators
Haruka Yamazaki 1 Yasuaki Ishikawa 1 Yoshihiro Ueoka 1 Satoshi Urakawa 1 Masaki Fujiwara 2 Eiji Takahashi 2 Yasunori Andoh 2 Yukiharu Uraoka 1
1Nara Inst. of Sci. and Tech. Nara Japan2Process Research Center Kyouto Japan
Show AbstractAmorphous In-Ga-Zn-O (a-IGZO) thin film transistors (TFTs) have attracted much attention as promising materials for the driving device of next generation displays. The deep understanding for the reliability on the electrical characteristics of a-IGZO TFTs is mandatory to be prevalent material for various applications. The influence of hydrogen in gate insulators (GIs) on the reliability of a-IGZO TFTs has been actively discussed. In this work, we fabricated highly reliable TFTs with new SiNX GIs containing low hydrogen volume. Through this study, we revealed the influence of hydrogen on the reliability with several GIs such as new SiNX films and thermal SiO2 film. New SiNX film was deposited by plasma enhanced chemical vapor deposition using SiF4/N2 which has no hydrogen content in the source gases. We prepared three kinds of SiNX films with different hydrogen condition and thermal SiO2 for comparison. The hydrogen gas flow ratio to SiF4 was changed as 0%, 1%, and 8%. These films were deposited at 150omicron;C. We fabricated bottom gate, top contact type TFTs. The GI was formed on low-resistivity Si substrate (n-type, ρ < 0.01 Omega;cm) which acts as a gate electrode. The thickness of GI was 100 nm. A 70-nm-thick a-IGZO channel layer was deposited by RF sputtering at a room temperature. As the electrical stress, positive bias stress (PBS) was applied for 10000 sec. The applied gate voltage was 20 V with grounding the source and drain electrodes. Every measurement and the PBS was carried out under room temperature, dark state, and air atmosphere. We evaluated the time dependence of the electrical characteristics on the difference in the GI fabrication condition. In the initial state, the a-IGZO TFTs on SiNX showed around 10 cm2/Vs for the field effect mobility which was comparable value with the TFTs used SiO2. After the PBS, the a-IGZO TFTs on SiNX indicated considerably better stability for threshold voltage than that on SiO2. The threshold voltage shift (ΔVth) of TFTs with SiNX and SiO2 were estimated as 1.6 V and 8.3 V, respectively. It should be noted that the ΔVth of TFT on SiNX, which were deposited with 1% and 8% hydrogen flow rate ratio to the source gas, also exhibited quite low value as 1.4 V and 1.7 V. According to the impurity profiles of the hydrogen and the fluorine in the SiNX layer on Si substrate measured by SIMS, the SiNX layers contain relatively low hydrogen (~2x1020cm-3) compared with conventional SiNX derived from silane (~3x1021cm-3), and the large amount of fluorine originated from the source gas. It is assumed that fluorine possibly plays an important role for improving the reliability of a-IGZO TFTs.
9:00 AM - Z9.24
Narrow Bandwidth Ultraviolet Photodetectors Based on Wide Band Gap Semiconductors
Zhipeng Zhang 1 Markus Winter 1 Stefan Mueller 1 Holger von Wenckstern 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractThe detection of ultraviolet (UV) radiation is essential for fire and missile plume sensors, ozone hole and solar radiation monitoring, pollution and pollutant detection, fault detection, or for plasma diagnostics [1, 2]. Commonly used photomultiplier tubes with metal photocathode or silicon diodes are more and more replaced by visible-, also solar-blind UV-photodetectors (PDs) employing wide band gap semiconductors as active material. The integration of an optical filter layer was used to fabricate wavelength-selective, visible-blind UV-PDs based on the ternary systems AlGaN [2, 3] and MgZnO [4] being only sensitive in a defined, narrow spectral range. Up to now, this was accomplished by growing the active layer of a backside detector on top of a buffer layer (current design [4]) having a slightly higher band gap. For AlGaN- and MgZnO-based metal-semiconductor-metal (MSM) PDs bandwidth down to 300 and 60 meV, respectively, were reported [2, 4]. In this contribution we demonstrate the potential of a novel device layout allowing to achieve much narrower bandwidth by the decoupling of the optical filter and the active layer. For that the optical MgxZn1-xO filter layer is grown on one side of a double-sided polished sapphire wafer and the MgyZn1-yO active layer is grown on the other side of the same wafer (novel design). Within this approach interdiffusion between the filter and the active layer is avoided and carriers generated in the filter layer will not contribute to the photocurrent. For a first demonstrator device of this kind a bandwidth of 48 meV (5 nm) at 3.4 eV responsivity maximum was achieved being 12 meV smaller than that of the current design. Since the research on appropriate p-type transparent semiconducting oxides (TSOs) like MgZnO or InGaZnO4 is still a challenging field [5], the zinc spinels ZnM2O4 (M=Co, Rh, Ir) are promising candidates for transparent photovoltaics. Compared to Rh and Ir, p-ZnCo2O4 due to economic issues was chosen and used as a heterojunction on top of n-ZnO for visible-blind photodetection. The degenerately doped ZnO:Al ohmic back contact acts here as an optical edge filter layer blocking high energy radiation, because its absorption edge shifted to higher energy due to Burstein-Moss effect. A FWHM of ca. 50 meV (5.6 nm) was achieved around 3.25 eV. The so-called solar-blind PDs are insensitive to wavelength above 280 nm. For photodetection in solar-blind range we used monoclinic β-Ga2O3 (~4.9 eV) [6]. A maximum spectral photoresponse of about 13 A/W was achieved around 4.9 eV. [1] M. Razeghi and A. Rogalski: J. Appl. Phys. 79, 7433 (1996) [2] U. Karrer et al.: J. Vac. Sci. Technol. B 18, 757 (2000) [3] S. K. Zhang et al.: Appl. Phys. Lett. 91, 4628 (2002) [4] Z. P. Zhang et al.: Appl. Phys. Lett. 99, 083502 (2011) [5] F-L. Schein et al.: IEEE Electron Device Lett. 33(5), 676 (2012) [6] R. Suzuki et al.: Appl. Phys. Lett. 98, 131114 (2011)
9:00 AM - Z9.26
Antireflective ZnSnO/Ag Bilayer-based Transparent Source and Drain Electrodes for Transparent Thin Film Transistors
Kwang-Hyuk Choi 1 Hyun-Woo Koo 2 Tae-Woong Kim 2 Han-Ki Kim 1
1Kyung Hee Univ. Yongin-si Republic of Korea2Samsung Mobility Display Co Yongin-si Republic of Korea
Show AbstractWe report on antireflective ZnSnO (ZTO)/Ag bilayer and ZTO/Ag/ZTO trilayer source/drain (S/D) electrodes for highly-transparent ZTO channel-based thin film transistors (TFTs). Although both bilayer and trilayer films have a similar sheet resistance (3~5 Ohm/square), the ZTO/Ag bilayer is a more effective transparent S/D electrode for ZTO channel layer than the ZTO/Ag/ZTO trilayer S/D electrode, due to the direct contact of the Ag layer on the ZTO channel layer and a desirable oxide-metal-oxide multilayer structure for antireflection effects. ZTO channel-based all-transparent TFTs with ZTO/Ag bilayer S/D electrodes exhibited a saturation mobility of 4.54 cm2/Vs and a switching value (1.31 = V/decade), comparable to those of a ZTO channel-based TFT with metallic Ag S/D electrodes. This indicates that the antireflective ZTO/Ag bilayer is a promising transparent S/D electrode for use in all-transparent TFTs as a substitute for conventional opaque metal S/D electrodes.
9:00 AM - Z9.27
Fabrication of Transparent TiO2-x Channel-based Thin Film Transistors Using an Oxygen-deficient TiO2-x Target
Kwang-Hyuk Choi 1 Han-Ki Kim 1
1Kyung Hee University Yongin-si Republic of Korea
Show AbstractWe report fabrication of an amorphous TiO2-x (a-TiO2-x) channel based oxide thin film transistor (OxTFT) by direct-current magnetron sputtering using an oxygen-deficient TiO2-x target. By rapid thermal annealing of a sputtered TiO2-x channel layer in nitrogen ambient, we obtained a-TiO2-x-based OxTFTs with a performance of mu;FE of 0.69 cm2/Vs, Ion/off of 2.04 x 107, SS of 2.45 V/decade and VT of 10.45 V. X-ray photoelectron spectroscopy showed that the a-TiO2-x-based OxTFT performance could be attributed to the oxygen-deficient TiO2-x channel layer, which has a multiplicity of Ti oxidation states such as Ti2+, Ti3+ and Ti4+ unlike stoichiometric TiO2 film. This indicates that the sputtered a-TiO2-x channel layer is a promising indium-free or gallium free oxide channel layer that could substitute for high-cost indium or gallium oxide based channel layers to generate cost-efficient OxTFTs.
9:00 AM - Z9.28
Morphological Control in Electrodeposited Cuprous Oxide Schottky Barrier Solar Cells: ``Flowersrdquo; vs. ``Cubesrdquo;
Anna Osherov 1 Changqiong Zhu 1 Matthew Panzer 1
1Tufts University Medford USA
Show AbstractCuprous oxide is an earth abundant semiconducting material that holds much promise for a variety of solar energy conversion applications, including solar cells. Electrodeposition from aqueous solution provides the ability to create highly controllable layers of metal oxide thin films with variety of microstructures via a simple, scalable, and cost effective route. One of the important aspirations in materials synthesis and device fabrication is the ability to synthetically tune the mesoscopic structure, size, and shape of inorganic materials. Over the years, alkaline lactate solution (pH ~10) has been a conventional medium for Cu2O electrodeposition, and a range of film performance has been achieved. In this work, flower-like Cu2O films with p-type conductivity are obtained by electrodeposition using ITO substrates in an acidic lactate medium. The films exhibit photovoltaic properties without annealing in eutectic gallium-indium/Cu2O/ITO Schottky barrier solar cells. Cell performance is found to depend strongly on Cu2O film morphology, which is determined by the electrodeposition conditions. A highly dendritic, flower-like film morphology is shown to be beneficial for the Schottky solar cells produced. Short circuit current density of 2.3*10-2 mA/cm2, open circuit voltage of 0.51 V, and a fill factor of 21.57% under green LED (0.583 mW/cm2) illumination are demonstrated. Furthermore, the influence of the deposition solution chemistry on film growth is discussed and correlations between solution chemistry, applied overpotential, film morphology, and resulting photocurrent generation are established.
9:00 AM - Z9.29
Optimising Metal Oxide Electron Injection Layers in Hybrid Organic-inorganic LEDs
Jorge Costa Dantas Faria 1 Alasdair D Campbell 3 1 Martyn A McLachlan 2 1
1Imperial College London London United Kingdom2Imperial College London London United Kingdom3Imperial College London London United Kingdom
Show AbstractHere we present recent results investigating the incorporation of metal oxide (ZnO) films as electron injecting layers in hybrid organic-inorganic light emitting diodes (HyLEDs). Such inverted devices allow for the use of ambient-stable high work function contacts and therefore should require less complex encapsulation methods. While reported values have shown promising device performance approaching those of conventional polymer OLEDs, this is usually with the aid of, for example, carbonate or self-assemble interlayers to aid in electron charge injection. The possibility of influencing device performance by varying the experimental conditions under which the metal oxide injection layer is deposited is attractive. Here we present results summarizing the performance characteristics of inverted devices of poly[(9,9-di-n-octylfluorenyl-2,7-diyl)-alt-(benzo[2,1,3]thiadiazol-4,8-diyl)] (F8BT) and ZnO injection layers. The deposition of the oxide layer by a number of solution based techniques (spin coating, sol-gel, spray pyrolysis) in addition to post deposition thermal and chemical anneal of the oxide layer are presented. We study the injection efficiency with deposition method, layer morphology and surface treatment using a combination of X-ray diffraction, scanning electron microscopy, atomic force microscopy, Hall mobility and UV-Vis spectroscopy. Device characteristics are correlated to the Mott-Gurney SCLC equation for single carrier devices as well as efficiency and light output from complete HyLED devices. Our work has highlighted the importance of controlling the chemistry of the polymer oxide interface and we present initial results aimed at using secondary ion mass spectroscopy (SIMS) to profile oxygen deficiency at the polymer oxide interface. References: M. Sessolo and H. J. Bolink, Adv. Mater., 2011, 23, 1829. A. Janotti and C. G V. de Walle 2009 Rep. Prog. Phys. 72 126501.
9:00 AM - Z9.30
Fabrication of Oxide TFT with an IGZO/AlOx Stack by Solution-based Non-vacuum Mist Chemical Vapour Deposition
Toshiyuki Kawaharamura 1 Mamoru Furuta 1
1Kochi University of Technology Kami Japan
Show AbstractThere is a lot of displays in our life and our demand for high performance and high resolution display is increasing year by year. Thin film transistor (TFT) is one of the indispensable devices for controlling pixels in a display. For conventional amorphous Si (a-Si:H) TFTs, the mobility is limited about 0.5 cm2/(Vs). Thus, it cannot be responded to achieve larger-area and highly-definition display in near future. To overcome the mobility issue, development of TFT with metal oxide semiconductor channel such as indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), and zinc tin oxide (ZTO) has been developed recently. On the other hand, the conversion of vacuum-based TFT fabrication process to a non-vacuum process is attempted for environmentally friendly. The IGZO or IZO TFTs fabricated by spin coating or sol-gel method have been reported. However, in these reports, the gate insulator was prepared by a vacuum process such as plasma-enhanced chemical vapour deposition (CVD). It is very important for the non-vacuum process conversion of TFT fabrication process to fabricate both a gate insulator and a semiconductor film by a non-vacuum process. Thus, we had attempted to convert TFT fabrication process to non-vacuum process with gate insulator and channel layer grown by mist CVD, which is one of solution based non-vacuum process and suitable techniques for growing thin films continuously under atmosphere [1,2]. Oxide TFT consisting of channel layer (IGZO) (47 nm) and gate insulator (AlOx) (116 nm) was fabricated by mist CVD. The AlOx thin film was grown with the deposition rate of several dozen nm/min with the smooth roughness of a few nm. The AlOx thin films grown at 430°C exhibited the breakdown field (EBD) and the dielectric constant (k) of 5.9 MV/cm and 6.8, respectively. Each metal composition ratio of an IGZO thin film grown at 350°C, despite using source material composing at element ratio of 1:1:1, was 16:37:47 (In:Ga:Zn), according to RBS measurement. As growing IGZO thin films, the composition ratio of the film depends on the growth temperature and the mixture ratio of source material at preparation. And the oxide TFT exhibits the field-effect mobility (mu;lin) and on/off ratio of 4.2 cm2/(Vs) and over 108, respectively [3]. In this conference, we report the fabrication process and electrical properties of oxide TFT with an IGZO/AlOx stack grown by the mist CVD, and demonstrate the possibility of non-vacuum process conversion of the TFT fabrication process. [1] T. Kawaharamura: Ph.D. Thesis, Faculty of Engineering, Kyoto-Univ., Kyoto, 2008 [in Japanese] [2] T. Kawaharamura, H. Nishinaka, and S. Fujita, Jpn. J. Appl. Phys. 47 (2008) [3] M. Furuta, T. Kawaharamura, D. Wang, T. Hirao, T. Toda, and G. T. Dang, IEEE Electron Devices Letters, 33 (2012) pp.851-853.
Z5: Metal Oxide Semiconductors
Session Chairs
Tuesday AM, November 27, 2012
Hynes, Level 2, Room 200
9:30 AM - *Z5.01
Conducting Oxides for Electronics and Optoelectronics
Chris G Van de Walle 1
1University of California, Santa Barbara Santa Barbara USA
Show AbstractOxides are increasingly being used in electronics and optoelectronics, but fundamental knowledge about their properties, and particularly about doping, is often lacking. First-principles calculations are now capable of accurately predicting quantities that are directly relevant for devices. Modifications of the band structure due to strain can be exploited for higher mobilities, as our study of SrTiO3 illustrates [1]. In oxides that can be highly doped, the large carrier concentrations can significantly affect optical transparency. First-principles evaluations of free-carrier absorption [2] provide insight into the factors that limit this key criterion for transparent conducting oxides. Often, however, achieving high doping levels is an issue in its own right. Oxygen-derived valence bands pose problems for p-type doping, as evident in the inability to dope ZnO p-type [3]. But the problem transcends the availability of suitable acceptors, and can be traced to the tendency for hole localization, as evident in polaron formation [4]. Conduction bands derived from d states may pose similar problems that affect electron mobility, as illustrated with the example of TiO2 [5]. Other important conducting oxides such as In2O3 and Ga2O3 [6] will also be discussed. Work performed in collaboration with A. Janotti, C. Franchini, E. Kioupakis, G. Kresse, J. Lyons, H. Peelaers, P. Rinke, D. Steiauf, and J. Varley, and supported by ARO, DOE, and NSF. [1] A. Janotti, D. Steiauf, and C. G. Van de Walle, Phys. Rev. B 84, 201304(R) (2011). [2] H. Peelaers, E. Kioupakis, and C. G. Van de Walle, Appl. Phys. Lett. 100, 011914 (2012). [3] J. L. Lyons, A. Janotti, and C. G. Van de Walle, Appl. Phys. Lett. 95, 252105 (2009). [4] J. B. Varley, A. Janotti, C. Franchini, and C. G. Van de Walle, Phys. Rev. B 85, 081109 (2012). [5] A. Janotti, J. B. Varley, P. Rinke, N. Umezawa, G. Kresse, and C. G. Van de Walle, Phys. Rev. B 81, 085212 (2010). [6] J. B. Varley, J. R. Weber, A. Janotti, and C. G. Van de Walle, Appl. Phys. Lett. 97, 142106 (2010).
10:00 AM - Z5.02
Band Gap Engineering and Property Engineering with Gallium Oxide-based Compounds and Alloys
Kentaro Kaneko 1 Kazuaki Akaiwa 1 Sam-Dong Lee 1 Norihiro Suzuki 1 Shizuo Fujita 1
1Kyoto Univ Kyoto Japan
Show AbstractGallium oxide (Ga2O3) semiconductors possess wide band gap about 5 eV, and the recent reports of highly-crystalline corundum-structured alpha-Ga2O3 [1,2] and proposals of power devices with rhombic-structured beta-Ga2O3 by homoepitaxy on solution-grown beta-Ga2O3 substrates [3,4] have attracted increasing interest on this material for novel applications. In this symposium we show prospects of a corundum-structured alloy semiconductor system based on alpha-Ga2O3. Al2O3, Ga2O3, and In2O3 are wide band gap materials and can form an alloy semiconductor system of (AlGaIn)2O3 allowing band gap engineering. There are other corundum-structured oxides which possess similar lattice constant with (AlGaIn)2O3, that is, V2O3, Cr2O3, Fe2O3, and Ti2O3, showing unique properties. It is expected that the alloying of these oxides with an (AlGaIn)2O3 alloy achieves novel multi-functions, that is, property engineering, without severe degradation of crystal structure. However, alpha-Ga2O3 and alpha-In2O3 are thermodynamically metastable, so it is a priority issue to realize them by appropriate growth conditions. The use of sapphire (alpha-Al2O3) substrates and mist chemical vapor deposition (CVD) successfully achieved the growth of highly-crystalline alpha-Ga2O3 by domain-matching epitaxial growth [2] as well as the n-type doping with Sn upto the order of 10^19 cm^(-3). On the other hand alpha-In2O3 was grown with alpha-Fe2O3 buffer layer on sapphire substrate. The undoped alpha-In2O3 film showed n-type conductivity with electron concentration of 3.6 x 10^18 cm^(-3) and Hall mobility of 83 cm^2/Vs, which are reasonable for semiconductor device applications. Hence realizing corundum-structured Al2O3, Ga2O3, and In2O3, our research was then directed toward band gap engineering with their alloys on sapphire substrates. Composition (x) control of alpha-(AlxGa1-x)2O3 alloys from x=0 to 0.78 was successful, followed by the band gap engineering from 5.3 to 7.8 eV. Marked phase separation, on the other hand, was seen in (InxGa1-x)2O3 alloys between x=0.2 and 0.6, probably because of increased lattice mismatch to sapphire substrates. Alloying of semiconductors with magnetic materials may add spintronic properties to semiconductors owing to spin-carrier interaction. Different from the doping of transition metals, the alloying of metal-oxide semiconductors with transition metal-oxides will not cause limited solubility and degradation of crystallinity of host semiconductors because of the same crystal structure. alpha-Ga2O3 and alpha-Fe2O3 form highly-crystalline alloys alpha-(Ga1-xFex)2O3 for the entire composition range, and ferromagnetic property in magnetization characteristics was observed at room temperature. Ferromagnetic properties were also seen for alpha-(In1-xFex)2O3. [1] D. Shinohara et al: JJAP, 47 (2008) 7311. [2] K. Kaneko et al: JJAP, 51(2012) 020201. [3] K. Sasak et al: APEX, 5 (2012) 035502. [4] M. Higashiwaki et al: APL, 100 (2012) 013504
10:15 AM - Z5.03
Selection Rule of Preferred Doping Site for n-type Transparent Conducting Oxides
Su-Huai Wei 1 Chong Li 2 Jingbo Li 2
1National Renewable Energy Laboratory Golden USA2Institute of Semiconductor Physics, CAS Beijing China
Show AbstractTraditionally, it is believed that the conduction band edges of d0 or d10 oxides are derived mostly from cation s states, thus doping on anion sites is expected to cause less perturbation and produce shallow donor levels in these materials. Using first-principles calculations, we show that although this paradigm is applicable for more covalent oxides such as SnO2 where FO is a better n-type dopant than SbSn, for more ionic oxides such as ZnO, the conduction band edge actually contains a considerable amount of O s orbitals, thus FO in ZnO causes larger perturbation and consequently produces deeper donor levels than cation site doping such as AlZn. The rule that anion site doping is preferred for more covalent oxides and cation site doping is preferred for more ionic oxides for n-type metal oxides should be general and can be used to guide future study of and search for functional oxide materials.
10:30 AM - *Z5.04
Band Energies and Doping Limits of Metal Oxides
Aron Walsh 1
1University of Bath Bath United Kingdom
Show AbstractMetal oxides encompass the complete spectrum of electronic structures, from metals to insulators. Some of the most interesting physicochemical behaviour can be found for those materials in the intermediate regime - oxide semiconductors - where ionic and/or electronic disorder can be controlled. The redox behavior of materials, and in turn the concentration of point defects and electrical carrier concentrations, is intimately related to their absolute band energies, i.e. the ionisation potential, electron affinity, and workfunction. However, the exact relationship between these properties is poorly defined. Building on from a series of first-principles electronic structure calculations, including the surface electron accumulation of In2O3 [1], the doping limits of ZnO [2,3], and the metallization of PbO2 [3], we have been exploring the relationship between band energies and electrical response in more detail. In this presentation, we will report our latest results concerning observable trends in binary metal oxides, which provide new insights into their known behavior as well as providing a solid foundation for the study of new multi-component materials with properties tailored for high-performance applications. [1] A. Walsh, Applied Physics Letters 98, 261910 (2011). [2] C. R. A. Catlow, A. A. Sokol and A. Walsh, Chemical Communications 47, 3386 (2011). [3] A. Walsh, C. R. A. Catlow, M. Miskufova and A. A. Sokol, Journal of Physics: Condensed Matter 23, 334217 (2011). [4] D. O. Scanlon, A. B. Kehoe, G. W. Watson, M. O. Jones, W. I. F. David, D. J. Payne, R. G. Egdell, P. P. Edwards, and A. Walsh, Physical Review Letters 84, 233301 (2011).
Z6: Rutile and Anatase Oxide Semiconductors
Session Chairs
Tuesday AM, November 27, 2012
Hynes, Level 2, Room 200
11:30 AM - Z6.01
Deposition of Tin Oxides by Ion-beam-sputtering
Martin Becker 1 Philipp Karl Hering 1 Angelika Polity 1 Davar Feili 1 Bruno Karl Meyer 1
1JLU Giessen Giessen Germany
Show AbstractSynthesis of a p-type oxide semiconductor is required to develop oxide-based electronic devices. Tin monoxide (SnO) recently has received increasing attention as an alternative p-type oxide semiconductor because it is a simple binary compound consisting of abundant elements. Another phase of the tin oxygen system, SnO2, is of great technological interest as transparent electrodes and as heat-reflecting filters. The preparation of tin oxide thin films has been performed by many different procedures, either by using sol/gel, spray pyrolysis, CVD procedures or other methods working under vacuum conditions such as sputtering. Radio-Frequency-Ion-Thrusters, as designed for propulsion applications, are also qualified for thin film deposition and surface etching, because different gas mixtures, extraction voltages and rf power can be applied. Tin oxide thin films were grown by IBS using a 3 inch metallic tin target. Different aspects of the thin film growth and properties of the tin oxide phases were investigated in relation to growth parameters such as substrate temperature, supplied rf power and flux of oxygen. Results on thin film growth by IBS will be presented, structural, optical and electrical properties of the films will be discussed.
11:45 AM - Z6.02
Inkjetted Inorganic Transistors Using a Sol-gel Processed SnO2 Semiconductor and Sb-doped SnO2 Electrodes
Jaewon Jang 1 Eung Seok Park 1 Hongki Kang 1 Vivek Subramanian 1
1UC Berkeley Berkeley USA
Show AbstractTransparent metal oxide semiconductor-based thin film transistors (TFTs) are likely to serve as key components in displays with high aperture ratios for high brightness, and they may even allow for the direct embedding of system-level electronics on glass substrates due to their excellent transparency. To date, many transparent devices have been fabricated using conventional vacuum-deposited thin films patterned via a lithographic process. Solution-based printing techniques are widely considered to be promising alternatives due to their excellent scalability. Therefore, there is widespread interest in developing printed transparent oxide devices. Here, we demonstrate thin film transistors consisting of a sol-gel-based SnO2 semiconductor and Sb-doped SnO2 transparent electrodes that were fabricated with via inkjet printing. A SnO2 liquid precursor was prepared by dissolving 1 mmol of SnCl22H2O in a mixture of 9 ml of ethanol, 1 ml of ethylene glycol and 0.44 mmol of NH4OH. A Sb-doped SnO2 liquid precursor was prepared by dissolving 1 mmol of SnCl22H2O and 0.08 mmol of SbCl3 in a mixture of 9 ml of ethanol and 1 ml of ethylene glycol. The SnO2 and Sb-doped SnO2 layers were formed on 100 nm-thick SiO2/n++ substrates by a custom-built inkjet printer consisting of a single Microfab piezoelectric inkjet nozzle and a high-precision moving stage. To control the geometrical characteristics of the drops of the SnO2 and Sb-doped SnO2 solution precursors, the printing of the relevant solutions was performed at different substrate temperatures to exploit temperature-dependent spreading. The fabricated thin film transistors, consisting of a SnO2 semiconductor and Sb-doped SnO2 S/D electrodes, show good n-type behaviour, with good mobility and on-off ratio. The extracted linear mobility and saturation mobilities are 3.5 cm^2/V s, and 2.0 cm^2/V s, respectively, and the on/off ratio is ~ 10^4. Optical transparency is excellent as well, with overall stacks offering transparency similar to comparable conventionally processed indium tin oxide films. These devices therefore demonstrate the promise of inkjet printed oxides for realization of transparent transistors for large area displays.
12:00 PM - Z6.03
Lithium, Niobium or Potassium-doped TiO2 Anatase: Structural, Optical and Electronic Changes
Melike Mercan Yildizhan 1 Mehmet Ali Gulgun 1 Saso Sturm 2
1Sabanci University Istanbul Turkey2Josef Stefan Institute Ljubljana Slovenia
Show AbstractTiO2 is one of the most crucial components of Dye Sensitized Solar Cells (DSSCs) which functions as both charge separation and electron transfer layer. Therefore, manipulating the electronic, optical and structural properties of TiO2 has attracted considerable attention. In order to have a better understanding on effect of doping on properties of TiO2 , undoped and Nb, K or Li doped TiO2 nanopowders were synthesized by sol-gel method. Structural changes in TiO2 upon Nb, K or Li doping were followed with X-Ray Diffraciton (XRD) and Raman Spectroscopy techniques. XRD results indicated that anatase to rutile phase transition is suppressed by Potassium (2 at%) and Niobium (8 at%) incorporation whereas it was facilitated by Lithium (2 at%) incorporation. Secondary phases were not observed in any of the cases. Additional Raman lines appeared at 362cm-1, 323 cm -1and 242 cm-1for K or Nb doped anatase, which were missing in both Li-doped and undoped anatase TiO2. This result indicated that symmetry of anatase was altered on K or Nb doping whereas it was preserved by Li doping. Those additional lines might be attributed to brookite phase or the distortion of Ti-octahedra in anatase similar to Ti-octahedra of brookite. Nanopowders (K or Li-doped) were etched with hot sulphuric acid, in order clean the surfaces of the absorbed dopant ions. ICP-OES results of etched powders revealed that most of the K/Li could be on the surface of the particles. Only 0.2 at.% K and 0.7 at.% Li were incorporated into bulk anatase. Nb-doped powders were etched with phosphoric acid and bulk concentration profile was obtained by EDX analysis. Optical changes were followed with UV-Visible spectroscopy, K-doping and Li-doping did not result in a pronounced shift (389 and 388 nm, respectively) whereas Nb doping resulted in a significant redshift (422 nm) with respect to undoped anatase (387 nm). Changes in electronic structure were followed by ELNES for Li doped anatase on O-K (where additional features were detected) and Ti-L2,3edges (where crystal field splitting was more pronounced).
12:15 PM - Z6.04
TiO2 Spectroscopy from First Principles
Linda Hung 1 Francesco Sottile 1
1Ecole Polytechnique Palaiseau France
Show AbstractTiO2 has been shown to be a good photocatalyst in the UV range. While much research today concentrates on the effects of doping and microstructure to increase TiO2 efficiency in sunlight, it is nevertheless important to have an accurate characterization of defect-free TiO2. By identifying the properties inherent to bulk structures, any trends due to defects can be better understood. Here we use ab initio techniques to characterize and compare perfect crystals of the three most common polymorphs of TiO2: rutile, anatase, and brookite. Kohn-Sham density-functional theory (DFT) is used to describe ground state properties, and time-dependent DFT and many-body perturbation theory techniques (GW approximation and Bethe-Salpeter) are used for optical properties. We present calculations that simulate momentum-transfer-resolved electron energy loss spectroscopy (EELS) for the valence states of TiO2. The spectra are interpreted in relation to the electronic properties of each polymorph. We also compare these EELS calculations to experiments and discuss the accuracy of theoretical techniques employed.
12:30 PM - Z6.05
Growth of Ultra-thin Titanium Dioxide Films by Complete Anodic Oxidation of Titanium Layers on Conductive Substrates
Karsten Wolff 1 Petri Heljo 1 Donald Lupo 1
1Tampere University of Technology Tampere Finland
Show AbstractIn the last decades titanium dioxide (TiO2) has become a widely used material. Due to its outstanding properties, it is used in applications including photocatalysis, dye-sensitized solar cells, medical implants and electronics. Beside sol-gel synthesis, sputter or chemical vapour deposition, films of TiO2 can also be grown by exceedingly cost-effective anodic oxidation. Although this technique has been investigated thoroughly, we do not know any report on complete anodic oxidation of ultra-thin Ti layers on conductive substrates like Au or ITO. However, novel effects related to thin TiO2 have been demonstrated (e.g. negative differential resistance in ITO/TiO2/MEH-PPV/Al diodes), from which reasonable interest in cost-effective layer growth of TiO2 aroused [1]. For some applications, a residual Ti layer underneath the TiO2 has to be prevented in any circumstances. In this contribution, we will present the anodisation behavior for ultra-thin layers (4 - 20 nm) on Au, Pt and ITO surfaces using galvanostatic as well as potentiodynamic anodisation. The first experimental results showed an oxidation process which basically differs from layer growth on Ti foils or thick films severely. Most remarkable, the layer growth in galvanostatic mode is self-terminating, although the Ti film has not been transformed into TiO2 completely. The effect seems to be more pronounced for thinner films. Therefore, a strong relation to the conductive layer underneath and its interface is assumed, i.e. a severely affected charge transport mechanism from the TiO2/TiOx layer to the substrate. As a matter of fact, full oxidation of thin Ti layers using a constant current density was not successful. Anodisation in potentiodynamic mode feeding a linearly increasing voltage showed an N-shaped current density over time, which was reproducible for different potential slew rates. Nevertheless, a final electrical breakdown occurred, which corresponded to complete oxidation of the Ti film. Therefore, the aimed full oxidation was actually possible by forcing the system to oxidize in potentiodynamic anodisation mode. During anodisation a non-constant current was observed, which we attribute to densification of the native oxide, to changes in crystallinity and the interaction with the substrate. In contrast to conventional anodic oxidation of thicker layers, AFM topographies showed only slightly roughened surfaces after anodisation. Additonally, we have investigated the influence of the growing conditions on the density of defect states and the relative permittivity using electrochemical impedance spectroscopy. In general, densities and permittivities of 1e19 cm-3 - 1e20 cm-3 and 25 - 32 have been measured, respectively, which are close to typical values for TiO2 [2]. [1] Yoon et al., Appl. Phys. Lett. 87, 203506 (2005) [2] Roy et al., Angew. Chem. 123, 2956 - 2995 (2011)
12:45 PM - Z6.06
Epitaxial Growth of Ultrathin Anatase Thin Films on SrTiO3(001) by a Sol Gel Route
Freddy Oropeza 1 3 Anna Regoutz 1 Kelvin H L Zhang 1 4 Russell G Egdell 1 Didier Wermeille 2
1University of Oxford Oxford United Kingdom2European Synchrotron Radiation facility Grenoble France3Ruhr-University Bochum Germany4Pacific Northwestern National Laboratory Richland USA
Show AbstractEpitaxial thin films of anatase have been grown on SrTiO3(001) by a simple sol-gel route involving dip coating the substrate in an isopropoxide solution followed by hydrolysis and annealing at temperatures up to 1000 degree C. The range of thermal stability of thin film anatase is very much bigger than for bulk polycrystalline anatase. The films have been characterised by a range of techniques including in-house and variable temperature synchrotron based x-ray diffraction, atomic force microscopy, high resolution transmission electron microscopy and X-ray photoemission spectroscopy. Films annealed at 1000 degree C appear to be compressively strained even though the in plane lattice parameter of SrTiO3 is bigger than that of anatase at room temperature. The unusual strain state is shown to be influenced by a large difference between the in-plane coefficients of thermal expansion of the substrate and the epilayer.
Symposium Organizers
Timothy Veal, University of Liverpool
Steven M. Durbin, University at Buffalo-SUNY
Martin Allen, University of Canterbury
Andre Schleife, Lawrence Livermore National Laboratory
Symposium Support
Army Research Laboratory
Lawrence Livermore National Laboratory
Z12: Oxide Semiconductor Devices II and IGZO
Session Chairs
Jae Kyeong Jeong
Marius Grundmann
Wednesday PM, November 28, 2012
Hynes, Level 2, Room 200
2:30 AM - *Z12.01
Oxide Materials Enabling Novel Device Applications
Marius Grundmann 1
1Universitaet Leipzig Leipzig Germany
Show AbstractWe report on semiconducting oxide materials and thin films for novel device applications. Oxide UV photodetectors Using MgxZn1-xO absorption and MgyZn1-yO UV filter thin films (y>x) with different Mg-content, allows the fabrication of UV, visible-blind photodetectors with small spectral bandwidth [1]. By varying x and y, the center wavelength and bandwidth can be tuned. Separating both (Mg,Zn)O layers on different sides of a double-polished wafer allows further improvements of the photoresponse. Using β-Ga2O3, UV solar-blind photodetectors with transparency up to 4.9 eV can be fabricated. We have investigated the Sn- and Si-doping of gallium oxide and have fabricated Ni-based Schottky contacts with rectification ratio up to 10^4 and large photoresponse. Junction Field Effect Transistors (JFETs) The typical oxide transistors have n-type channel materials such as ZnO. We present ZnCo2O4 (ZCO) as p-type contact on ZnO and as gate material for ZnO-based JFETs. [2] ZCO has spinel structure and functions in oxide pn diodes also as amorphous material deposited at room temperature. The JFET exhibit current on/off ratio of 1.3 × 10^7, and a subthreshold swing of 91 mV/dec were achieved for a transistor with a 40 nm thin channel layer. The devices are normally on and show excellent bias-stress stability, exhibiting a negligible threshold-voltage shift. Elevated temperatures up to 150 deg C changed the device performance slightly, but the transistor remains fully operative. [1] Zh. Zhang et al., Appl. Phys. Lett. 99(8), 083502 (3 pages) (2011) [2] F.-L. Schein et al., IEEE Electron Device Letters 33(5), 676-678 (2012)
3:00 AM - Z12.02
Transparent Electronics: From Materials to Integrated Circuits
Elvira Fortunato 1 Rodrigo Martins 1
1CENIMAT Caparica Portugal
Show AbstractTransparent electronics has arrived and is contributing for generating a free real state electronics that is able to add new electronic functionalities onto surfaces, which currently are not used in this manner and where silicon cannot contribute [1,2]. The already high performance developed n- and p-type TFTs have been processed by physical vapour deposition (PVD) techniques like rf magnetron sputtering at room temperature which is already compatible with the use of low cost and flexible substrates (polymers, cellulose paper, among others). Besides that a tremendous development is coming through solution-based technologies very exciting for ink-jet printing, where the theoretical limitations are becoming practical evidences. In this paper we will review some of the most promising new technologies for n- and p-type thin film transistors based on oxide semiconductors and its currently and future applications. [1] P. Barquinha, R. Martins, L. Pereira and E. Fortunato, Transparent Oxide Electronics: From Materials to Devices. West Sussex: Wiley & Sons (March 2012). ISBN 9780470683736. [2] E. Fortunato, P. Barquinha, R. Martins, Advanced Materials 2012 (review paper). DOI: 10.1002/adma.201103228
3:15 AM - Z12.03
Time-dependent Hall Effect Study of Persistent Photoresponse in Amorphous IGZO Thin Films
Jiajun Luo 1 Alexander Adler 2 Thomas O. Mason 2 D. Bruce Buchholz 2 Robert P. H. Chang 2 Matthew Grayson 1
1Northwestern University Evanston USA2Northwestern University Evanston USA
Show AbstractDue to its transparency, high mobility, and manufacturability, amorphous In-Ga-Zn-O (a-IGZO) is considered a promising channel material for TFT displays. Recent reports1 show a large and slow photoresponse in a-IGZO, raising device stability concerns, as well as potential optoelectronic applications. In this work, we investigated persistent photoconductivity in a-IGZO thin films through Hall measurements, recording the change of conductivity (σ), carrier density (n), and mobility (mu;) over an extended day-long time scale, at 300 K and 350 K, in a He-flow cryostat with a 15 T magnet. To obtain samples with initial n varying by orders of magnitude, 200 nm InGaO3(ZnO)2 thin films were deposited by pulsed laser deposition on glass substrates at oxygen pressure PO2 = 5, 10, and 15 mTorr, respectively. With increasing PO2, the initial n decreased strongly as n = 11, 1, 0.6×1017 cm-3 respectively, while the initial mu; decreased weakly as µ = 17, 16, 12 cm2/Vs. This PO2 dependence agrees with other reports2. LED illumination with various wavelengths (lambda;) showed that the photoresponse only exists when lambda; < ~430 nm. Therefore, we used LEDs with lambda; = 405 nm as light source. Illumination and heating allowed both n and µ to change over a wider range. With increasing PO2, the range over which n can vary increased from less than one order of magnitude to more than two orders; µ was relatively stable, ranging between 10 to 22 cm2/Vs for all three PO2. In a week-long heating of the 15 mTorr sample in the dry oxygen-free cryostat, µ increased from 12 to 22 cm2/Vs, then decreased to 12 cm2/Vs. This non-monotonic change suggests that there is excess oxygen for films grown at the highest PO2 (15 mTorr). At an elevated temperature and oxygen-poor environment, that extra oxygen appears to be removed, decreasing concentration of scattering centers, hence increasing mobility. However, when too much oxygen is removed, oxygen vacancies can form new scattering centers, thus decreasing mobility. The transient photoconductivity curves with illumination on/off can be related to inter-band density distribution. In an earlier report by the Hosono group1, the electronic structure of a-IGZO was analyzed and interpreted in terms of the energy scales of the three most likely electron jumps, fitting the curves as summation of three exponential transients, each with a time constant corresponding to one energy scale. We recorded analogous data for our samples over a longer time, ~24 hours for illumination and ~24 hours in dark, at both 300 K and 350 K, and fitted them to stretched exponential (SE), which is the typical procedure for fitting photoresponse in amorphous material. The excitation curves fit well to SE, suggesting instead a continuous energy spectrum responsible for the persistent photoresponse. However, the relaxation curves appear slower than SE. 1 D. H. Lee, et al., Electrochem. Solid-State Lett. 13 (2010) H324. 2 K. Nomura, et al., Jpn. J. Appl. Phys. 45 (2006) 4303.
3:30 AM - Z12.04
Auto-powered Solar Diode (gas) Sensor
Martin Hoffmann 2 J. Daniel Prades 1 Alaa Eldin Gas 2 Francisco Hernandez-Ramirez 3 1 Raquel Fiz 2 Hao Shen 2 Sanjay Mathur 2
1Universitat de Barcelona Barcelona Spain2University of Cologne Cologne Germany3Catalonia Institute for Energy Research (IREC) Barcelona Spain
Show AbstractFunctional nano-units in self-powered mode, being capable of harvesting mechanical or solar energy from ambient, can work as multifunctional units in chemical- and bio-sensors, photodetectors, logic devices and memories without any external electrical power feed epitomize products for the future device technologies. The concept of auto-powered devices implemented so far relied on the assembly of an external power unit with an active device element, which demonstrates the potential of combining complementary functionalities, such as powering and sensing, at the nanoscale. Here, we report a solar diode sensor (SDS) based on CdS@n-ZnO/p-Si nanoelements which unifies gas sensing (CdS@n-ZnO) and solar energy harvesting (n-ZnO/p-Si) functionalities in a singular material unit and device. A novel SDS sensing concept (change of open circuit voltage, delta(Voc)), in comparison to the well-known conductometric sensors (change of resistance, delta(R)), is demonstrated and explained in terms of modulated polarization of nanoparticles/nanorods interface, gas-material surface interactions and the subsequent changes in the doping level (Nd), which is manifested in the variation of Voc in CdS@n-ZnO/p-Si. The fabricated SDS was capable of detecting oxidising and reducing gases with reproducible response at room temperature and without the need of any other energy sources except solar illumination to deliver a self-sustained gas sensor.
3:45 AM - Z12.05
Atomic Layer Deposited Zinc Tin Oxide Channel for Amorphous Oxide Thin Film Transistors
Jaeyeong Heo 1 2 Roy G Gordon 1
1Harvard University Cambridge USA2Chonnam National University Gwangju Republic of Korea
Show AbstractThin film transistors with amorphous zinc tin oxide channels were grown by atomic layer deposition (ALD). We combined a well-established ALD ZnO process with a newly developed ALD process for SnO2 from a cyclic amide of Sn(II) precursor (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene). Alternating cycles of these two ALD processes produced zinc tin oxide films at substrate temperatures from 120 to 170 oC. By adjusting the ratio of zinc and tin cycles, the composition could be tightly controlled. Electrical and structural film properties were studied, focusing on changes in microstructure after post-deposition annealing at different temperatures. The films remained amorphous up to temperatures over 400 oC. Enhancement-mode transistors were fabricated on thermal oxide as an insulator, highly-doped silicon as a back-gate and aluminum as source and drain. The highest field-effect mobility obtained was ~13 cm2/Vs and the on-to-off ratio of drain current was ~109-1010. The lowest subthreshold swing observed was 0.27 V/decade. Channel layers grown at 170 oC showed better transistor properties than 120 oC-grown counterparts. Channels with higher zinc to tin ratios (~3-4) also performed better than ones with lower ratios (~1-3).
4:30 AM - *Z12.06
Band Lineup of Oxide Semiconductors
Hideo Hosono 1
1Tokyo Institute of Technology Yokohama Japan
Show AbstractA striking advancement of oxide semiconductors in last 2 decades is discovery of new materials: p-type materials, N-type exotic oxide such as 12CaO7Al2O3, and bipolar materials. We built a band lineup of these oxide semiconductors by measuring work function with ultraviolet photoemission and optical absorption of the thin films. In this talk, I consider the dupability of carrier, p/n directivity, and propose a designing of bipolar oxide semiconductors on the basis of the band lineup.
5:00 AM - Z12.07
Thermoelectric Measurements in a-GaInZnO Indicate Hopping Dominated Transport
Wijnand Chr. Germs 1 Willem Adriaans 1 Ashutosh Tripathi 2 Christian Roelofs 1 Brian Cobb 2 Rene Janssen 1 Gerwin Gelinck 2 Martijn Kemerink 1
1Eindhoven University of Technology Eindhoven Netherlands2Holst Centre/TNO Eindhoven Netherlands
Show AbstractOne of the best performing amorphous oxide semiconductors is a-GaInZnO (a-GIZO), which can reach charge carrier mobilities above 10 cm2 V-1 s-1. In the last decade several papers were published on the nature of the charge transport in a-GIZO; nevertheless, many questions have remained unanswered. In particular, two types of transport models can be found for a-GIZO which rely on fundamentally different assumptions. Here, we compare the two types of models used for a-GIZO, a percolation model [1] and an extended mobility edge model. In order to make conclusive statements we combined three different experiments on a-GIZO thin film transistors with numerical modeling. We measured the mobility and the device Seebeck coefficient (S = ΔV / ΔT) vs. charge carrier density and temperature. On the same type of device we used a scanning Kelvin probe-based technique to determine the density of states.[2] The density of states measurements show that at all accessible densities the Fermi energy lays in an exponential tail of (localized) states. This is inconsistent with the percolation model, which can only give a reasonable description of the mobility and Seebeck data by assuming that the Fermi level lays in a band of mobile states (with algebraic energy dependence). In stark contrast, the extended mobility edge model gives an accurate description of all experimental results with a single, consistent set of parameters. The extended mobility edge model not only accounts for band-like transport above the mobility edge, but also allows for variable range hopping in the localized tail states below the mobility edge. Hopping has been discarded in literature so far due to the observation of a Hall effect in a-GIZO.[3] However the presence of band-like conduction does not exclude hopping conduction to occur simultaneously. Rather surprisingly, we found that variable range hopping in the exponential tail of the DOS actually has a larger contribution to the total charge transport than the transport above the mobility edge. [1] T. Kamiya, K. Nomura, and H. Hosono, Appl. Phys. Lett. 96, 122103 (2010). [2] W.S.C. Roelofs et al., Phys. Rev. B. 85, 085202 (2012). [3] T. Kamiya et al., Sci. Technol. Adv. Mater. 11, 044305 (2010).
5:15 AM - Z12.08
Colloidal Quantum Dot Light Emitting Diodes Using Indium Gallium Zinc Oxide as Electron Transport Medium
Jie Liu 1 Yan Wang 2 Patrick Wellenius 2 Guanjun You 1 Andrew Y Wang 3 John F Muth 2 Jian Xu 1
1Pennsylvania State University University Park USA2NC State University Raleigh USA3OceanNanotech LLC. Springdale USA
Show AbstractRecent studies suggest that the electron transport material of colloidal quantum dot (QD) light emitting diodes (LEDs) can be replaced by some metal oxides, such as zinc oxide (ZnO) or zinc tin oxide (ZTO), to achieve air stable QD LEDs [1-4]. We report in this conference our investigation of indium gallium zinc oxide (IGZO) as a novel electron transport medium. In this study, we have designed, processed and characterized QD LEDs with the configuration of indium tin oxide (ITO)/ Poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS)/ poly[N, N&’-bis(4-butylphenyl)-N,N&’-bis(phenyl)benzidine] (poly-TPD)/ QDs/ IGZO/ Al. While most of the non-electrode layers of our device were solution processed, the electrode transport layer of IGZO was integrated into the device employing the technique of pulsed laser deposition (PLD) at room temperature. In order to optimize the output power and efficiency of the QD LEDs, IGZO films of various thickness and conductivity have been tested in the device structure. As a result, we demonstrate a device of pure red emission with the maximum luminance of 3600 Cd/m2 and maximum efficiency of 0.31 Cd/A. For the sake of comparison, a control device with Alq3 as electron transport medium was also processed and characterized, exhibiting the maximum luminance of 636 Cd/m2 and maximum efficiency of 0.19 Cd/A. It is concluded that the superior electron transport properties of IGZO account for the improved performance of the QD-LEDs in our study. [1] J. M. Caruge, J. E. Halpert, V. Wood, V. Bulovic, and M. G. Bawendi, Nat Photon 2, 247 (2008). [2] V. Wood, M. J. Panzer, J. E. Halpert, J. M. Caruge, M. G. Bawendi, and V. Bulovicacute;, Acs Nano 3, 3581 (2009). [3] V. Wood, M. J. Panzer, J.-M. Caruge, J. E. Halpert, M. G. Bawendi, and V. Bulovicacute;, Nano Letters 10, 24 (2009). [4] L. Qian, Y. Zheng, J. Xue, and P. H. Holloway, Nat Photon 5, 543 (2011).
5:30 AM - Z12.09
Electronic Structures of Impurities in Amorphous In-Ga-Zn-O
Toshio Kamiya 1 Kenji Nomura 2 Hideo Hosono 1 2
1Tohoku University Yokohama Japan2Tokyo Institute of Technology Yokohama Japan
Show AbstractAmorphous oxide semiconductor (AOS) represented by amorphous In-Ga-ZnO (a-IGZO) is expected for thin-film transistors (TFTs) in next-generation flat-panel displays (FPDs) because they have superior properties such as large TFT mobilities > 10 cm2/Vs, low operation voltages < 5 V, and good uniformity [1]; therefore, many prototype displays including 70” LCD, 55” OLED, flexible OLED/e-papers have been demonstrated, and now a-IGZO TFTs appear in market as a very high-resolution LCD in a table PC. On the other hand, fundamental properties including effects of defects and impurities in AOSs have not yet been fully understood. We have investigated electronic structures of a-IGZO and its defects both experimentally (by I-V / C-V methods and XPS) and theoretically (density functional theory), and found the trap density in a-IGZO is small near the conduction band edge [2] while high-density defects can exist near the valence band edge [3]. For impurities, we reported that weakly-bonded oxygens form bistable electron traps in a-IGZO annealed at 300C in O3 [3] and that post hydrogen doping causes generation of high-density free electrons up to 1019 cm-3 [2]. In this work, we have examined other defects and impurities in a-IGZO; (i) weakly-bonded oxygens in a-IGZO films deposited at high oxygen pressures (PO2), (ii) unintentionally-incorporated hydrogen impurities, and (iii) effects of intentionally-doped Na. The weakly-bonded oxygens in the high PO2 a-IGZO exhibit a behavior similar to those observed in the 300C O3 a-IGZO, while the energy distributions of the related traps are different. High-density hydrogens at >1020 cm-3 exist in a-IGZO films deposited by conventional PLD and sputtering, but the generated carriers would be compensated by excess oxygens. Na impurities do not form subgap traps but deteriorate electron mobilities. We will discuss more details along with results of first-principles calculations at the conference. 1 K. Nomura et al, Nature 432, 488 (2004). 2 T. Kamiya et al., Sci. Technol. Adv. Mater. 11, 044035 (2010). 3 K. Nomura et al, JAP 109, 073726 (2011). 4 K. Ide et al, APL 99, 093507 (2011).
Z10: In2O3 and Ga2O3
Session Chairs
Wednesday AM, November 28, 2012
Hynes, Level 2, Room 200
9:30 AM - Z10.01
Bulk Oxygen Vacancies and Not the Surface Dominate the Unintentional n-type Conductivity of In2O3 Thin Films
Oliver Bierwagen 1 2 Natalie Preissler 1 Takahiro Nagata 3 James S. Speck 2
1Paul-Drude-Institut (PDI Berlin Germany2University of California Santa Barbara USA3National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractThe unintentionally doped (UID) conductivity of transparent semiconducting oxides has been traditionally explained by oxygen vacancies (Vo). More recently, however, theoretical modeling suggested Vo to be deep donors and proposed alternative sources of conductivity such as unintentionally incorporated hydrogen [1] or a dominant contribution from the surface electron accumulation layer (SEAL) [2]. Based on annealing and transport measurements of high-quality, thick UID and acceptor-doped In2O3 films deposited by plasma assisted molecular beam epitaxy we explored the sources of UID conductivity in In2O3, the role of Vo and the surface. We grew UID films, Mg(acceptor)-doped films, and a thin UID film on top of a thick Mg-doped buffer (to maximize the relative surface contribution to total conductivity and to exclude any interface contribution). Conductance- and Hall measurements determined the sheet conductance and sheet electron concentration in the films. These properties can be caused by a combination of surface and interface sheet carrier systems, and the bulk of the film.[3] Seebeck measurements, which are sensitive to the volume carrier concentration, were used to test if measured sheet properties were dominated by the bulk of the film or by sheet carrier systems. Annealing of UID films in oxygen reduced the carrier concentration while increasing the mobility and annealing in vacuum had the opposite effect, allowing the carrier concentration to be changed by one order of magnitude. Seebeck measurements confirmed that these changes were due to bulk electrons. Mg-doped films were n-type conductive after growth, semi-insulating after annealing in oxygen, and n-type conductive after a subsequent vacuum anneal. The above results demonstrate that in In2O3 bulk oxygen vacancies are shallow UID donors, that can dominate the conductivity of clean, high-quality UID In2O3 and can even overcompensate deep acceptors such as Mg. The conductivity and sheet carrier concentration of the thin UID film on top of a semi-insulating Mg-doped layer (to exclude the interface contribution) would be consistent with a surface accumulation layer, however, Seebeck measurements strongly suggested the sheet electron properties to be due to the thin UID layer. In addition, oxygen annealed, Mg-doped layers which have a SEAL (shown by Schottky contact current-voltage measurements, and by XPS measurements) show sheet resistances orders of magnitude higher than those of UID thin films. These results demonstrate that the surface electron accumulation layer does not contribute significantly to the UID conductivity of In2O3. [1] S. Limpijumnong et al., Phys. Rev. B 80, 193202 (2009). [2] S. Lany et al., Phys. Rev. Lett. 108 016802 (2012). [3] O. Bierwagen et al., J. Mater. Res. (2012), DOI: 10.1557/jmr.2012.172
9:45 AM - Z10.02
Electronic Structure and Surface Properties of Ga and Tl Doped In2O3
Russell G Egdell 1 Anna Regoutz 1 David Scanlon 2
1University of Oxford Oxford United Kingdom2University College London London United Kingdom
Show AbstractThe bulk and surface electronic structures of Ga and Tl doped In2O3 ceramics have been investigated by high resolution X-ray photoemission spectroscopy in conjunction with diffuse reflectance spectroscopy. Ga was incorporated as a bulk dopant as gauged by a monotonic decrease in lattice parameter. Somewhat surprisingly the bandgap defined by the absorption edge in reflectance spectra as well as the separation between the Fermi level and valence band edge in photoemission decreased with low levels of Ga doping even though Ga2O3 has a wider gap than In2O3. The large decrease in gap with surface doping in Tl-doped In2O3 was as expected from density functional theory calculations.
10:00 AM - *Z10.03
Intrinsic Atomic Defects in Group III Sesquioxides Studied by Aberration Corrected High Resolution Transmission Electron Microscopy
Martin Albrecht 1 Joel Varley 2 Thilo Remmele 1 Klaus Irmscher 1 Zbigniew Galazka 1 Reinhard Uecker 1 Chris Van de Walle 2 Roberto Fornari 1
1Leibniz-Institut fuer Kristallzuechtung Berlin Germany2University of California, Santa Barbara Santa Barbara USA
Show AbstractWide band gap oxide semiconducotors (e.g. Ga2O3, In2O3, and SnO2) are highly n-conductive and transparent in the visible spectral range, which makes them attractive materials for a number electronic and optolelectronc applications. While the crucial role of intrinsic defects on physical and chemical properties of oxide semconductors is widely accepted a detailed understanding liniking the structural properties of intrinisc defects to properties is far from complete. Correlating optical and electrical characterization with in depth structural studies of materials could contribute to a deeper inside into defect related materials properties. The event of aberration corrected transmission electron microscopy opened new perspectives to study even individual atomic defects. In this presentation we will present two important examples for such studies of groups III sesquioxides: (i) in situ of individual polarons in β-Ga2O3 and (ii) precipitation of oxygen vacancies in In2O3 (i) Acceptor doping remains a major challenge in wide band gap oxides. Valence band states of these heteropolar metal oxides are formed of localised O 2p states. Thus, instead of resulting in mobile delocalized holes, acceptor doping results in deep localized states which are stabilized by lattice distortion, i.e. formation of small polarons. Selftrapping of holes is generally evidenced by indirect measurements, e.g. by electron paramagnetic resonance or by photoluminescence. We present an in-situ study of single polaron generation and annihilation by aberration corrected transmission electron microscopy (TEM). According to ab-initio caluclations, bonding of the hole to the oxygen atom leads to a bond breaking of the Ga atom and in turn to a strong lattice relaxation, which could be resolved by TEM of cleaved samples that have monolayer thickness. We present experimental data on formation, transfer and recombination of single selftrapped holes in real time. Typically we find the lifetime of the polaron in the range of several seconds. (ii) Calculations for e.g. SnO2, In2O3 and Ga2O3, In2O3show oxygen vacancies to be a negative U defect, stable in the 2+ and neutral charge state. From theory a high mobility and concentrations ranging from 1016 to 1020 cm-3at thermodynamic equilibrium are expected. Early experimental work linked the coloration under annealing in reducing atmosphere to the presence of oxygen vacancy related color centers. Transmission electron microscopy reveals faceted In particles with a broad size distribution (sizes ranging from 2 nm to several 100 nm) in Czochralski grown single crystals. Based on our TEM studies we evidence that these particles form by agglomeration of oxygen vacancies. From the total volume of the particles we estimate a density of vacancies of 1019cm-3 at growth temperature, in good accordance to recent theoretical estimations. Upon annealing under oxygen atmosphere these particles disappear, even in macroscopic volumes by diffusion of oxygen. The coloration of the crystal in the as grown state can be explained based on the Mie theory, i.e. by absorption caused by In particles embedded in the In2O3 matrix.
10:30 AM - Z10.04
Electrical and Optical Characterization of In2O3 Single Crystals
Klaus Irmscher 1 Zbigniew Galazka 1 Martin Naumann 1 Tobias Schulz 1 Martin Albrecht 1 Mike Pietsch 1 Reinhard Uecker 1 Roberto Fornari 1
1Leibniz Institute for Crystal Growth Berlin Germany
Show AbstractAlthough In2O3 is a well-established transparent conductive oxide (TCO) there are still fuzzy concepts about (i) what are the dominant donor defects and impurities (apart from Sn) leading to high n-type conductivity and (ii) the apparent difference between the fundamental band gap and the onset of optical absorption. For instance, theoretical approaches predict for the oxygen vacancy, which was most often discussed as the dominant shallow donor in past experimental literature on TCOs, a double donor with an energy level ranging from near mid-gap position to shallow below the conduction band edge. Further, according to experimental and theoretical investigations, strong optical absorption sets in at about 3.5 eV, while at the fundamental gap energy of 2.9 eV only weak absorption takes place. Many of the past experiments were performed on polycrystalline or amorphous thin films. However, detailed and reliable data on electrical and optical properties of In2O3 are more readily accessible by investigations of nearly perfect single crystals. Here we report on such investigations of melt-grown bulk In2O3 single crystals. Conductivity and Hall effect measurements at room temperature yielded for nominally undoped, as-grown crystals electron concentrations of few 1018 cm-3 and mobilities of 140 - 180 cm2/Vs. Annealing experiments under non-reducing or hydrogen-containing atmospheres showed that the electron concentration dropped by about one order of magnitude in the first case whereas it increased by up to two orders of magnitude in the second case. Temperature dependent measurements of the electron concentration indicated that there were besides shallow (effective-mass like) donors additional, moderately deep levels (~ 0.2 - 0.3 eV) that donated electrons above room temperature. The role of hydrogen impurities and oxygen vacancies is discussed. We also present optical transmission spectra for the wide temperature range from 5 to 1300 K and report cathodo- and photoluminescence data. At 300 K the onset of remarkable absorption was observed near the fundamental gap energy with an absorption coefficient of 3×103 cm-1 at 3.05 eV.
10:45 AM - Z10.05
Structural, Optical and Electrical Properties of Sn- and Si-doped beta;-Ga2O3 Thin Films
Stefan Mueller 1 Holger von Wenckstern 1 Tammo Boentgen 1 Marius Grundmann 1
1Universitamp;#228;t Leipzig Leipzig Germany
Show AbstractThe wide bandgap oxide semiconductor β-Ga2O3 (EG = 4.9 eV @ RT) is a promising material for realization of transparent optoelectronics like FETs [1] or solar-blind photodetectors [2, 3]. So far there are only a few reports on electronic applications of Ga2O3 thin film [4]. Recently, the first reproducibly working MESFETs on single crystalline Ga2O3 substrates [1] were reported. In this contribution we present structural, optical and electrical properties of Sn- and Si-doped β-Ga2O3 thin films grown by pulsed laser deposition on c-plane sapphire substrates. The oxygen partial pressure was set between 3×10-4 and 0.04 mbar and the substrate temperature between 470°C and 780°C. The thin films are (-2 0 1) oriented if grown at low oxygen partial pressure. For oxygen partial pressures above 10-3 mbar additional orientations are visible in the XRD data. We see a strong influence of the growth parameters on the properties of our samples. For example the growth rate at an oxygen partial pressure of 0.04 mbar is two times higher compared to the growth rate at 3×10-4 mbar at a substrate temperature of 680°C. The transmissivity between 1100 nm and 280 nm was in the range of 90% for all investigated samples. However the bandgap increases from 4.7 eV (0.04 mbar) to 4.9 eV (3×10-4 mbar) with decreasing oxygen partial pressure. The conductivity and electron mobility of our thin films is about 0.1 S/cm and 0.1 cm2/Vs, respectively. These values are comparable to the best reported for PLD grown thin films [5,6]. Further we present the first rectifying contacts on our thin films prepared by thermal evaporation of nickel or palladium. The I-V characteristics of such contacts exhibit rectifying ratios of the forward and reverse currents determined at +2 V and -2 V, respectively, of about 104. Temperature-dependent I-V measurements up to 150 °C of such contacts show no thermal degradation. Even a 10 min annealing at 500 °C did not destroy the rectifying behaviour of these contacts. [1] M.Higashiwaki, K.Sasaki, A.Kuramata, T.Masui, S.Yamakoshi, Appl. Phys. Lett. 100, 013504 (2012). [2] Y. Kokubun, K. Miura, F. Endo, S. Nakagomi, Appl. Phys. Lett. 90, 031912 (2007) [3] T. Oshima, T. Okuno, N. Arai, N. Suzuki, S. Ohira, S. Fujita, Appl. Phys. Exp. 1, 011202 (2008). [4] K. Matsuzaki, H. Yanagi, T. Kamiya, H. Hiramatsu, K. Nomura, M. Hirano, H. Hosono, Appl. Phys. Lett. 88, 092106 (2006). [5] M. Orita, H.Ohta, M.Hirano, H. Hosono, Appl. Phys. Lett. 77, 4166 (2000). [6] M. Orita, H. Hiramatsu, H. Ohta, M. Hirano, H. Hosono, Thin Solid Films 411, 134 (2002).
Z11: Other Oxides Semiconductors
Session Chairs
Wednesday AM, November 28, 2012
Hynes, Level 2, Room 200
11:30 AM - Z11.01
Synthesis and Luminescence of Self Assembled Sb2O3 Triangular Micro- and Nano-structures
Teresa Cebriano 1 Bianchi Mendez 1 Javier Piqueras 1
1Universidad Complutense Madrid Madrid Spain
Show AbstractSb2O3 is a high refractive index semiconductor with potential interest in optical devices. It has also applications as UV filter, catalyst or flame retardant. In this work an evaporation-deposition method has been used to grow cubic-phase Sb2O3 micro- and nanostructures in which nanopyramids and nanotriangles appear as building blocks. In particular, triangles with a range of sizes from tens of nanometers to tens of microns, form fractal-like arrangements. The growth parameters of different low dimensional Sb2O3 structures and the aggregation mechanism have been studied. Luminescence of the micro- and nanotriangles has been investigated by cathodoluminescence (CL) in scanning electron microscope (SEM) and by photoluminescence (PL) in a laser confocal microscope. CL spectra of the triangles show bands at 2-2.5 eV and 3.1 eV, the latter is not observed in the Sb2O3 precursor powder. PL excited by 325 nm laser shows a band at 2.4 eV with a shoulder at 2.75 eV, as well as resonance modes, which depend on the size of the triangles, suggesting optical cavity behavior of these structures. Resonances are also evidenced in PL images which show intensity maxima in specific positions with a three-fold symmetry distribution.
11:45 AM - Z11.02
Expanded Thermochromic Color Changes in VO2 Thin Film Devices Using Structured Plasmonic Metal Layers
Yan Wang 1 John Muth 1
1North Carolina State University Raleigh USA
Show AbstractThermochromic materials are well known for the optical property of being able to change color reversibly with temperature. Vanadium dioxide (VO2) has been one of the most interesting thermochromic materials because of its high infrared transmission in the insulating phase and high infrared reflectivity in the metallic phase. In this study, we investigate the reflected color change in the visible spectrum as VO2 undergoes the insulating to metallic phase transition and show the color change can be controlled by depositing thin metallic structures on the film. By changing the type of metal, the thickness of the metal and by patterning the metal at the nano scale, one can quantify the change of color on a CIE chromaticity diagram and show the range of the reflected colors can be substantially extended. Pulsed Laser Deposition (PLD) was used to deposit the VO2 films on an ITO covered glass substrate. The ITO thin film provided a convenient thin film resistive heater integrated into the device structure. By controlling the voltage or current, the temperature would be controlled from 20 °C to 90 °C. The metal layer, such as Au, Al or Ni, was deposited by the electron beam deposition. A tungsten light source with 430-900 nm wavelength range was used to generate a collimated light beam and normally incident onto the VO2 film. The transmission and reflection light were collected by an Ocean Optics USB 4000 spectrometer, while the temperature of theVO2 film was changed between 30 °C and 80 °C. In comparison with VO2 thin films device that do not have the top metal film layer, the magnitude of the color change is greatly expanded and the color change is clearly visible by human eyes. This is explained by considering the film and the structured metal layer in the context of surface plasmons. Using Fresnel equations and the appropriate complex refractive indices of the metal and VO2, as the VO2 changes from the insulating state to the metallic state, allows the color changes to be predicted.
12:00 PM - Z11.04
Atomic Layer Deposition of SrO: Substrate and Temperature Effects
Han Wang 1 Xiaoqiang Jiang 1 Brian Willis 1
1University of Connecticut Storrs USA
Show AbstractAtomic layer deposition (ALD) has attracted much attention due to its capability for accurate thickness control and superior conformal growth. Challenges for ALD include non-ideal nucleation and substrate effects often encountered at the interfaces between dissimilar materials. Due to different chemical compositions between substrates and ALD-grown materials, the growth per cycle (GPC) might vary with the number of cycles. As a result, multiple growth regions are often observed in ALD processes. Recently, it has been found that even if the substrate is fully covered by ALD-grown material, the GPC can still vary with the number of cycles (or film thickness). These substrate effects are particularly problematic for the growth of more complicated materials including ternary systems because of the difficulty encountered in achieving steady, predictable growth. In this work, we investigate strontium oxide (SrO) as a model system to better understand the fundamental origins of substrate effects. The growth of metal oxides is one of the most extensively studied and promising areas of ALD. SrO ALD is critical for the ALD of the ternary strontium titanate (STO), which is of major interest for use with high-density metal-insulator-metal (MIM) capacitors. SrO is also of interest for the growth of epitaxial perovskite oxides on semiconductors where it acts as a buffer layer between the reactive semiconductor and the metal oxide layers. Recently, several new Sr precursors have been developed, and the cyclopentadienyl (Cp) based Sr compounds are especially promising. In particular, SrO ALD by strontium bis(tri-isopropylcyclopentadienyl) [Sr(C5iPr3H2)2 ] and H2O has been investigated by several groups. The GPC at low deposition temperature (200 - 250 °C) has been well established to be ~0.06 nm/cycle, but recently a highly enhanced GPC (~0.3 nm/cycle) at deposition temperature of 350 °C has been observed by ex-situ X-ray fluorescent spectroscopy (XRF) and in-situ real-time spectroscopic ellipsometry (RTSE). The mechanism for the unusual high GPC observed at high temperatures is still unclear, but we will show that it is related to substrate effects. In this work, we studied both initial and steady ALD growth of SrO on several insulating and metallic substrates up to the decomposition temperature using in-situ RTSE. We observed complex ALD growth characteristics with several different ALD operating regimes. For example, on native-oxide SiO2, steady growth is significantly delayed by the spontaneous formation of Sr silicates. Implications of these findings for a new route to ALD processing of epitaxial oxides will be discussed.
12:15 PM - Z11.05
Nonvolatile Memory Operations of Solution-processed In-Zn-Ti-O Thin-film Transistors with Ferroelectric Copolymer Gate Insulator
Jun Yong Bak 1 Soon Won Jung 2 Sung Min Yoon 1
1Kyung Hee University Yongin Republic of Korea2ETRI Daejeon Republic of Korea
Show AbstractRecently, ferroelectric memory thin film transistors (M-TFT) using oxide active channel and ferroelectric gate insulator attract lots of interests as nonvolatile memory devices. As a channel layer, the oxide semiconductors have been widely studied due to high carrier mobility, transparency, and excellent uniformity. As a ferroelectric insulator, the poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] have been utilized due to its large remnant polarization, short switching time, and simple preparation process at low temperature. In previous work, the memory operations of the sputter-deposited ZnO and In-Ga-Zn-O ferroelectric M-TFTs with P(VDF-TrFE) were successfully demonstrated. However, the memory retention characteristics of these M-TFTs should be more enhanced. In this work, we propose that the optimized composition of oxide channel layer could improve the device performances of the ferroelectric M-TFT. A semiconducting In-Zn-O thin film was examined due to the high electrical mobility. However, in the aspect of device stability, In-Zn-O was not a suitable material. To obtain better device stability, an effective carrier suppressor/stabilizer should be prescribed. From this viewpoint, in this work, the Ti-incorporated In-Zn-O (IZTiO) was selected and prepared by solution-based process, which features easy process and low cost. We fabricated IZTiO ferroelectric M-TFT with a top-gate structure. The sputter-deposited ITO was used as source/drain electrodes. The amount of Ti in the In-Zn-O (In:Zn=1:1) were varied to 0, 1, 2, 5, 10 and 20 mol%. After coating and patterning processes, the thermal treatment was performed at 450 oC in an O2 atmosphere. The P(VDF-TrFE) was spin-coated as a ferroelectric insulator. The contact areas were formed by O2 plasma in a dry etching system. Finally, Al gate electrode was deposited by thermal evaporation. From the transfer characteristics measured for the fabricated M-TFTs, the carrier generation was confirmed to be effectively suppressed with increasing the amounts of Ti. Among evaluated devices, the M-TFT using 5 mol%-Ti added active channel showed the optimum performances, in which 10-V-width memory window and seven-orders-of magnitude on/off ratio were obtained. The aggressive control of carrier concentration within the channel by the variation of Ti amounts is expected to be a powerful prescription to enhance the memory retention time for the proposed M-TFTs. We will discuss the effect of channel composition and related memory behaviors at the presentation in a detailed way.
Symposium Organizers
Timothy Veal, University of Liverpool
Steven M. Durbin, University at Buffalo-SUNY
Martin Allen, University of Canterbury
Andre Schleife, Lawrence Livermore National Laboratory
Symposium Support
Army Research Laboratory
Lawrence Livermore National Laboratory
Z15: ZnO and Related Materials III
Session Chairs
Holger von Wenckstern
Matt McCluskey
Thursday PM, November 29, 2012
Hynes, Level 2, Room 200
2:30 AM - *Z15.01
Surface Polarity Effects and Sample Dependent Variations in the High-resolution Photoluminescence from Bulk ZnO
Roger Reeves 1 2 Robert Heinhold 3 2 Rueben Mendelsberg 4 Martin Allen 3 2
1University of Canterbury Christchurch New Zealand2MacDiarmid Institute for Advanced Materials and Nanotechnology Christchurch New Zealand3University of Canterbury Christchurch New Zealand4Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractZnO is a wide-bandgap semiconductor that is experiencing a resurgent interest as applications in optoelectronics become apparent. Bulk samples in the form of thick wafers are now readily available from several suppliers using different growth techniques. Such samples provide platforms to analyse the intrinsic properties of ZnO as well as characterizing the different impurities that are incorporated during growth. Our low-temperature photoluminescence (PL) experiments reveal more than 10 different excitonic features involving electron hole-pairs bound to different impurities and second order exciton effects. One of the challenges to researchers in this area is that the PL energy splittings between different features is comparable to the experimental uncertainty, which makes identification problematic when comparing between samples. However sufficient understanding has now been obtained from well-calibrated measurements that PL-peak energies can be reliably used to identify the growth background of a particular sample. Additionally, variations in PL emission from different crystallographic faces show intriguing effects that hint at the strong influence of the ionic character of ZnO surfaces. An understanding of the underlying causes of these polarity-related variations will be important in optimizing ZnO optoelectronics. Interestingly surface polarity dependent PL can be modified by both annealing and metal coverage indicating that PL may also play a diagnostic role in device processing.
3:00 AM - Z15.02
Band-bending around the Surface of Zn and O-polarity Hexagonal ZnO Crystals
Tohru Honda 1 Takeyoshi Onuma 1 2 Yohei Sugiura 1 Tomohiro Yamaguchi 1
1Kogakuin University Hachiohji Japan2Tokyo National College of Tech. Hachiohji Japan
Show AbstractThe hexagonal ZnO crystal has a polarity due to an asymmetry arrangement of cation and anion atoms along a c-axis [1]. There are two growth directions, which are named as Zn-polarity (c+) and O-polarity (c-), for its growth along the c-axis. In this study, the polarity is determined using the angular resolved x-ray photoelectron spectroscopy (ARXPS) in the view of the built-in potential involved in the crystal. The photoluminescence (PL) characteristics due to the difference between the polarities are also discussed. The 330-500-µm-thick c-plane freestanding ZnO substrates sliced from ZnO bulk crystals grown by the hydrothermal method [2] were used as the observation for ARXPS (JEOL, JPS9000 MC). The sample surface modification using a buffered HF solution (BHF) was also performed. Steady-state PL measurements were performed at 300 K using a cw He-Cd laser emitting at 325.0 nm with an excitation power density of 32 W/cm2. The results indicate that the spontaneous and piezoelectric fields in the crystals strongly affect the built-in potentials. The band bending direction in the Zn-polarity ZnO surface was opposite to that in the O-polarity surface. The trends were similar to the case of GaN [3]. This means that the amount of the surface charges due to the spontaneous polarization affects the position of surface Fermi levels. The relationship between the surface Fermi level and the charge neutrality level [4] will be discussed. On the other hand, the PL intensity was strongly changed by the surface modification although the intensity of the Zn-polarity surface was different from that of the O-face. The XPS results indicate that the surface modification affects the amount of the built-in potential due to the band bending. The results indicate that the PL intensity connected with the surface recombination. This work was supported in part by Grant-in-Aid for Scientific Research Nos. 23760021 and 21560361 under MEXT, and ALCA program, JST, Japan. [1] G. Martin, A. Botchkarev, A. Rockett, and H. Morkoccedil;, Appl. Phys. Lett. 68, 2541 (1996). [2] E. Ohshima, H. Ogino, I. Niikura, K. Maeda, M. Sato, M. Ito, and T. Fukuda, J. Cryst. Growth 260, 166 (2004). [3] R. Katayama, K. Onabe, H. Yaguchi, T. Matsushita and T. Kondo, Appl. Phys. Lett. 91, 061917 (2007). [4] C. G. Van de Walle and J. Neugebauer, Nature 423, 626 (2003).
3:15 AM - Z15.03
Persistent Layer-by-layer Growth for Pulsed Laser Homoepitaxy of (0001) ZnO
Jan Zippel 1 Michael Lorenz 1 Gabriele Benndorf 1 Marius Grundmann 1
1Universitaet Leipzig Leipzig Germany
Show AbstractPersistent layer-by-layer growth is shown for homoepitaxy of ZnO thin films on (000-1) ZnO single crystalline substrates based on a modified pulsed-laser deposition (PLD) process. By adopting the interval PLD approach [1] for the growth of wurtzite-phase ZnO, we could stabilize the RHEED oscillations over the whole growth sequence up to a film thickness of about 90 nm. The pulsed laser interval deposition was initially proposed by Rijnders and Koster et al. [1,2] for the homoepitaxy of cubic SrTiO3 and SrRuO3, which are the prototype materials for PLD-based layer-by-layer growth. The interval PLD results in improved structural and comparable photoluminescence performance of the ZnO films. The full width at half maximum (FWHM) of the high-resolution X-ray diffraction (0002) rocking curve of an intervall PLD film are remarkably lower with 26 arcsec (omega;), as compared to 60 arcsec (omega;) for a ZnO reference film grown by PLD with continuous laser pulse sequence and with the same average growth rate. The luminescence indicates a slightly higher amount of stacking faults in the interval PLD film, as expected for layer-by-layer growth. The FWHM of the photoluminescence I6 line of the ZnO thin film grown by interval PLD is about 500 mu;eV at 2 K, whereas the thin film grown by a conventional approach shows a slightly smaller FWHM of about 350 mu;eV. This work has been supported by SFB 762 Functionality of oxide interfaces and by the European Social Fund. [1] G. Rijnders, G. Koster, D. Blank, and Horst Rogalla, Appl. Phys. Lett., 70, 1888 (1997). [2] G. Rijnder, G. Koster, D. Blank et al., Appl. Surface Science 168, 223 (2000).
3:30 AM - Z15.04
On the Radiation Hardness of (Mg,Zn)O PLD Thin Films
Florian Schmidt 1 Holger von Wenckstern 1 Daniel Spemann 1 Marius Grundmann 1
1Institut famp;#252;r Experimentelle Physik II Leipzig Germany
Show AbstractZinc oxide (ZnO) is a wide bandgap semiconductor with high radiation hardness compared to silicon or gallium arsenide making the material suitable for space applications [1]. The bandgap can be increased further by alloying ZnO with MgO and thus ternary MgxZn1-xO is an excellent material system for the fabrication of quantum well heterostructures having potential application in exciton-related photonic devices or high electron mobility transistors. Whereas the influence of proton bombardment on the creation of defect levels in binary ZnO has been reported [1], no data are yet available regarding the exposure of MgxZn1-xO to proton irradiation. To study the effect of proton irradiation, MgxZn1-xO thin films with Mg contents of 0 le; x le; 4% were irradiated by 2.25 MeV protons with fluences ranging from 1 × 1013 cmminus;2 to 2 × 1014 cmminus;2. The samples were grown by pulsed-laser deposition and characterized by means of capacitance-voltage (CV) and room-temperature Hall-effect measurements as well as deep level transient spectroscopy (DLTS). The net-doping density of as-grown MgxZn1-xO thin films obtained from CV measurements increases with increasing Mg-content similarly the free-carrier density obtained from the room-temperature Hall-effect measurements increases. As already observed for the case of binary ZnO the proton irradiation generates a deep-level, labeled E4 in the literature [1,2], which has been tentatively assigned to the oxygen vacancy. Whereas the electrical properties of the Schottky diodes as well as the net doping density of the samples did not change due to irradiation, the concentration of the E4 defect increased proportional to the applied dose as revealed by DLTS. The generation rate of E4 is for binary ZnO thin films about 40 cmminus;1, a factor of 3 and 16 higher than in single crystals grown from the melt and by seeded chemical vapor-phase transport, respectively. The difference in the generation rate of E4 in these ZnO materials grown by three different methods does not correlate with the free electron concentration and with that the position of the Fermi level [1,3,4]. For the PLD thin films alloyed with MgO the generation rate of E4 increases further to about 100 cmminus;1. These first studies suggest that the generation rate of E4 increases strongly in ternary MgxZn1-xO thin films with respect to binary ZnO. [1] F. D. Auret et al., Applied Physics Letters 79, 3074 (2001). [2] T. Frank et al., Applied Physics A: Materials Science & Processing 88, 141 (2007). [3] M. Hayes et al., Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 257, 311 (2007). [4] F. D. Auret et al., Mater. Res. Soc. Symp. Proc. 957 (2007).
3:45 AM - Z15.05
Dependence of Oxygen Pressure on the Structural, Electrical and Optical Properties of Pulsed Laser Deposited ZnO Thin Films
Saurabh Nagar 1 Subhananda Chakrabarti 1
1Indian Institute of Technology Bombay Mumbai India
Show AbstractZinc oxide films of thickness around 300 nm have been deposited over <001> sapphire substrates by pulsed laser deposition technique using a KrF excimer laser (248nm) at a substrate temperature of 650°C under various oxygen pressures ranging from 0.1 mTorr to 40 mTorr to study the effect of pressure variation on the ZnO films. Deposition of highly c-axis oriented <002> ZnO films for all the samples have been confirmed from X-ray diffraction. Room temperature Van der Pauw Hall measurement depict that the carrier concentration decreases from with increase in oxygen pressure up to 40 mTorr, to obtain a value of 1.13 × 10^17 cm^-3 and increases again on increasing the oxygen pressure. The corresponding Hall mobility at room temperature is a significant 16.1 cm^2/V-sec. Temperature dependent Hall measurement was performed within a temperature range of 80 - 300 K. While the carrier concentration did not show any significant change in its values, the Hall mobility was found to increase with temperature. Temperature-dependent photoluminescence studies performed on the films reveal a strong near band edge (NBE) emission around 3.36 eV at 8 K, corresponding to the donor-bound exciton (D°X) peak. The D°X peak dominates at lower temperature but at higher temperatures, the free-exciton (FX) peak starts to dominate in all the samples. The enhanced thermal dissociation of bound excitons into free excitons with temperature results in such an observation. Other peaks around 3.33 eV and 3.22 eV were also observed at low temperatures. These peaks were attributed to the extended defects due to the D°X peak and the second LO-phonon replica respectively. Although, the deep-level emission peaks, present due to oxygen vacancies and zinc interstitials, are seen around 2.5 eV, the relative intensity of these peaks is low compared to the NBE peak. Thus the optical quality of the samples is quite high. However, the ratio of the NBE to deep-level peak is the highest for sample deposited at 40 mTorr. The temperature dependence of the FX peak is fitted using Varshni&’s equation to find the ZnO band gap at 0 K and was calculated to be 3.38 eV. The results depict an optimal pressure of 40mTorr for high quality ZnO film growth. DST, India is acknowledged.
4:30 AM - *Z15.06
Exciton Dynamics and Diffusion in ZnO Based Quantum Wells
Jeffrey Davis 1 Christopher Hall 1 Jonathan Tollerud 1 Gethin Richards 1 Hark Hoe Tan 2 Chennupati Jagadish 2 Kazuto Koike 3 Shigehiko Sasa 3 Masataka Inoue 3 Mitsuaki Yano 3
1Swinburne University of Technology Hawthorn Australia2Australian National University Canberra Australia3Osaka Institute of Technology Osaka Japan
Show AbstractWhen designing optoelectronic devices it is common practice to form the active material into quantum structures to take advantage of quantum confinement effects, such as tunable transitions, enhanced oscillator strength and enhanced exciton and biexciton binding energies. In order to implement devices based on such structures, it is important to understand their optical and electronic properties. Previous work has explored the exciton recombination dynamics and significance of the quantum confined Stark effect (QCSE) in a range of quantum well systems. Very little, however, has been reported regarding exciton transport properties and the role of defects and interface roughness, particularly lateral to the heterointerfaces. In this work we utilize a transient grating experiment to explore exciton transport properties in the plane of the quantum well. In contrast to the measurement of electron and hole transport properties, which provide information on the macroscopic, or spatially integrated, transport, this technique explores transport over µm and nm distances. Futhermore, different scattering mechanisms become relevant for uncharged excitons, including interface roughness, neutral defects, and other nanoscopic potential fluctuations. In order to understand these mechanisms and the limiting factors, we explore the exciton transport in a range of quantum wells with different widths (and therefore varying extent of the quantum confined Stark effect), and as a function of temperature. Comparisons to the exciton recombination dynamics and screening of the internal electric field add further insight into the role of defects and interface fluctuations in the optical properties. We have also designed, grown and tested a range of graded barrier quantum wells intended to counter the QCSE. The designs include symmetric triangular shaped barriers and two different asymmetric gradings, one graded so that the conduction band energy slopes in the opposite direction of the internal electric field, and one so that the valence band slopes in the opposite direction to the internal field. The optical properties of these systems follow the trends predicted by calculations and show the ability to control the electron-hole overlap for a given emission wavelength. By exploring the exciton transport dynamics we assess the interface roughness in each of these graded barrier quantum wells, and gain further insight into the quantitative differences between the calculated and experimentally realized properties.
5:00 AM - Z15.07
Electrical Properties of Zinc Oxide Thin Films Deposited Using High-energy H2O Generated from a Catalytic Reaction on Platinum Nanoparticles
Kanji Yasui 1 Souichi Satomoto 1 Eichi Nagatomi 1 Takahiro Kato 1
1Nagaoka University of Technolpgy Nagaoka Japan
Show AbstractZinc oxide (ZnO) is highly useful for various applications such as short-wavelength optoelectronics, photoconductive devices, and transparent conductive electrodes. Although metalorganic chemical vapor deposition (MOCVD) in various growth methods has many advantages for industrial applications, deposition of high-quality ZnO thin films by conventional MOCVD methods consume a lot of electric power for the reaction of source gases and for increasing the substrate temperature. In order to save energy and resources, a more efficient reaction of the oxygen source gases with metalorganic source gases during film growth is highly desired. In addition to the low reaction efficiency, conventional MOCVD methods yield low-quality ZnO films compared to molecular beam epitaxy (MBE) and pulse laser deposition (PLD) methods. The reason for this is the incomplete reaction of metalorganic source gases with oxygen source gases in the gas phase. In a previous paper, we reported a new growth method for preparing ZnO films by reacting dimethylzinc (DMZn) and high-energy H2O generated from the Pt-catalyzed exothermic H2 and O2 reaction [1]. It was also reported that ZnO films with excellent crystallinity and optical properties, as well as large electron mobility were grown on a-plane (11-20) sapphire (a-Al2O3) substrates. From the dependence of the electrical properties on the film thickness, however, the ZnO films grown on a-Al2O3 substrates are considered to consist of an interfacial layer with a high defect density (degenerate layer) generated due to the large lattice mismatch between ZnO and Al2O3 substrates and an upper layer with a low defect density. In this paper, the electrical properties of the ZnO films are reported and analyzed according to a two-layer Hall-effect model [2]. The ZnO epitaxial films were directly grown on a-Al2O3 substrates at temperatures of 773-873 K without any buffer layer. The thickness of the ZnO epitaxial films was between 0.1-4.5 mu;m. The electron mobility at room temperature increased from 30 cm2/Vs to 180 cm2/Vs with increasing film thickness to approximately 3 mu;m. From the temperature dependence of the electron mobility, the mobility increased significantly with decreasing temperature to approximately 110-150 K, but decreased at temperatures less than 100 K for films greater than 500 nm in thickness. The electron mobility of the ZnO film (180 cm2/Vs) at 300 K increased to 660 cm2/Vs at 100 K, but decreased at less than 100 K. On the other hand, the mobility hardly changed with temperature for films lesser than 500 nm in thickness. The electron mobility and electron concentration of the upper layer were corrected based on the above results, assuming that the degenerate layer was 50 nm or 100 nm in thickness. [1] K. Yasui et al., MRS Proc. (2011), 1315:mrsf10-1315-mm02-10. [2] D. C. Look et al., Appl. Phys. Lett., 70 No. 23 (1997) 3377.
5:15 AM - Z15.08
Opto-electronic Properties of Atomic Layer Deposited Al-doped ZnO Films with Varying Carrier Concentration for Multifunctional Applications
Aswini Pradhan 1 Rajeh Mundle 1
1Norfolk State University Norfolk USA
Show AbstractAl-doped ZnO (AZO) films are currently emerging as potential transparent conducting oxide and novel metamaterial, apart from its usual demand as electrode materials in various optoelectronic devices, including photovoltaic cells, flat panel displays, and organic light-emitting diodes (OLEDs). In current research, AZO films were deposited by the atomic layer deposition (ALD) on both glass and sapphire (0001) substrates. The Al composition of the films was varied by controlling the Zn:Al pulse cycle ratios. The films were characterized by the atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and optical measurements. The Film resistivity was measured as a function of Zn:Al cycle ratios as well as temperature for films grown at various substrate temperature used for ALD deposition. The resistivity of the ALD grown films decreases significantly, and so as the increase in the carrier concentration as the cycle ratio increases. The systematic measurements of temperature dependence of resistivity of films at various cycle ratios clearly demonstrate the crossover of the metal-semiconductor-insulator phase with the function of temperature as well as the cycle ratios. The average transmission of all films is greater than 85% and the optical absorption increases significantly in the visible region as the cycle ratio increases. We observed a remarkable dependence of photo-resistance on electrical conductivity for ALD-grown films with varying cycle ratios, which control the Al content in the film. Our results suggest that Al3+ ions are incorporated as substitutional or interstitial sites of the ZnO matrix. However, an addition of an excessive amount of Al content causes the formation of Al2O3 and related clusters as carrier traps opposed to electron donors, resulting in an increase in the resistivity and other associated phenomena. We have also addressed the gradient films of AZO where carrier concentration were varied in a multilayer films by tailoring the Al content in each layer by ALD technique. This work is supported by the DoD (CEAND) Grant Number W911NF-11-1-0209 and W911NF-11-1-0133 (US Army Research Office), NSF-CREST (CNBMD) Grant number HRD 1036494 and NSF-RISE Grant number HRD-0931373.
5:30 AM - Z15.09
Defects Induced Anomalous Stress Evolution of ZnO Thin Films upon Annealing
Eric Le Bourhis 1 Pierre-Olivier Renault 1 Guillaume Geandier 2 Nathalie Brun 3 Etienne Barthel 4
1Institut P', UPR 3346, CNRS - Universitamp;#233; de Poitiers - ENSMA Chasseneuil France2UMR 7198, CNRS - Ecole des Mines de Nancy Nancy France3UMR 8502, CNRS - Universitamp;#233; Paris Sud Orsay France4UMR 125, CNRS - Saint-Gobain Aubervilliers France
Show AbstractZinc oxide is a material of major academic and industrial interest. When deposited as thin layer, it has to support complex industrial thermomechanical routes which affect the final properties (according to treatment temperature and atmosphere) by modifying the band gap and the mechanical reliability [1]. Several works supported by theoretical investigations correlate the optical characteristics to the presence of point defects [2]. The aim of the present study is to investigate the thermomechanical response of ZnO based stack films deposited by magnetron sputtering on Si and glass substrates and analyze it in terms of structural changes (defects) induced by the heat treatment. The films were heat treated from 25°C to 600°C and then cooled down to 25°C, encapsulation into Si3N4 layers was tested for part of the stacks. Synchrotron XRD 2D patterns were captured in-situ continuously during the heat treatment which allowed monitoring the stress in both ZnO (10 nm and 50 nm thick) sublayers. Due to the mismatch between the thermal expansion coefficients, the substrate induced compressive thermal stresses in the sublayers during heating. We indeed observed a linear increase of the compressive stress state. However, an anomalous and more complex stress evolution was observed starting from about 250°C. We first observed an increase in stress, which was enhanced when the stacks were encapsulated. Stress amplitudes passed through a maximum before a further relaxation was observed. Upon cooling, thermal contraction dominated so that the initial compressive films stresses relaxed by about 300 MPa after complete thermal treatment. The stress-free lattice parameters could be extracted and hence, the evolution of the concentration of point defects (oxygen vacancies and oxygen antisites) could be calculated and discussed as a function of temperature/time. [1] P.O. Renault, C. Krauss, E. Le Bourhis, G. Geandier, A. Benedetto, S.Y. Grachev, E. Barthel Thin Solid Films, 520, 1390 (2011) [2] F. A. Selim, M. H. Weber, D. Solodovnikov, and K. G. Lynn, Phys. Rev. Lett. 99, 085502 (2007)
5:45 AM - Z15.10
Low Temperature ZnO Epilayer Grown Using MOCVD
Abdiel Rivera 1 Anas Mazady 1 Hung Chi Chou 1 Mehdi Anwar 1 John Zeller 2 Ashok Sood 2 Tariq Manzur 3
1University of Connecticut Storrs USA2Magnolia Optical Technologies Woburn USA3Naval Underwater Warfare Center Newport USA
Show AbstractThe wide direct band gap (3.37 eV at room temperature) and large exciton energy (60meV) of ZnO enables the absorption of high energy photons and to radiate in the UV spectrum at room temperature. ZnO has been extensively studied for intended use in opto-electronic applications1 such as - UV LEDs2, UV detectors3, solar cells4, etc. ZnO has been grown using metal-organic chemical vapor deposition (MOCVD), hydrothermal process, chemical bath deposition (CBD), among others. We report the growth of ZnO thin film at low temperature on GaN/Sapphire substrate using MOCVD. ZnO epilayers were grown on u-GaN (002)/sapphire substrates using MOCVD at constant pressure (70 Torr). Diethyl Zinc (DEZn) was used as the Zn precursor, N2O as the oxygen source and N2 served as the carrier gas. The growth was carried out at 300C for 20 min with a DEZn flow rate of 50 sccm and N2O flow rate of 35 sccm with 100sccm of N2 running at the background. Environmental scanning electron microscope (E-SEM) was used to explore the physical properties of the ZnO epilayer. The thin film shows a uniform layer with random small perforations of diameters in the range of 200 - 500nm. EDX was used to confirm the composition of ZnO in the epilayer. High temperature x-ray diffraction was used to explore the crystal morphology. The temperature was ramped from room temperature to 700C under a constant flow of N2. The 2theta; scan shows a dominant peak associated with ZnO (002) overlapping with GaN at 34 degree, and a shallow peak attributed to sapphire. A lorentzian fit was used to decompose the peak at 34 degree and calculate the c-lattice constant and the FWHM. The c-lattice constant varied from 5.208x10^-10 at room temperature to 5.21x10^-10 at 700C. The FWHM evolved from 0.13 (theta;) at room temperature to 0.12, 0.08, 0.08 and 0.03 (theta;) for 400C, 500C, 600C and 700C, respectively. In summary, we have grown ZnO thin film layer on GaN using MOCVD at low temperature. The physical properties were explored using SEM and the composition of the epilayer using EDX. The crystal properties were analyzed using high temperature XRD showing a good crystal structure with a small FWHM as low as 0.03 (theta;). In the future, we will incorporate Mg to grow ZnO/ZnMgO thin film. References: 1A.K. Sood, Z.L. Wang, D.L. Polla, N.K. Dar, T. Manzur, A.F.M. Anwar, Optoelectronic Devices and Properties, O. Sergiyenko (Ed.), (In Tech 2011),p.173-195. 2M.C. Jeong, B.Y. Oh, W. Lee, J.M. Myoung, Journal of Crystal Growth 268 (2004) 149-154 3G. Chai, O. Lupan, L. Chow, H. Heinrich, Sensors and Actuators A 150 (2009) 184-187 4O. Lupan, Y.M. Guerin, I.M. Tiginyanu, V.U. Ursaki, L. Chow, H. Heinrich, T. Pauporte, Journal of Photochemistry and Photobiology A: Chemistry 211 (2010) 65-73
Z14: ZnO and Related Materials II
Session Chairs
Roger Reeves
Axel Hoffmann
Thursday AM, November 29, 2012
Hynes, Level 2, Room 200
9:30 AM - Z14.00
Microstructural and Optical Properties of H Implanted ZnO
Keng Chan 1 Jennifer Wong-Leung 1 2 Jiandong Ye 1 Patrick Parkinson 1 Edouard Monakhov 3 Klaus M. Johansen 3 Lasse Vines 3 Chennupati Jagadish 1 Bengt Svensson 3
1Research School of Physics and Engineering, The Australian National University Canberra Australia2Research School of Physics and Engineering, The Australian National University Canberra Australia3University of Oslo Oslo Norway
Show AbstractZnO is a promising wide bandgap semiconductor with potential applications in optoelectronic devices operating in the UV region. However it is still difficult to achieve stable and reproducible p-type conductivity in ZnO. Theoretical calculation shows that H contributes to the n-type conductivity in as grown ZnO [1]. Experimentally, introducing H in ZnO always increases the electron concentration in ZnO [2-3]. H either passivates acceptors and/or behaves as shallow donors in ZnO [4]. H implanted ZnO has been previously investigated by photoluminescence spectroscopy [5], Fourier transform infrared spectroscopy [5], secondary ion mass spectrometry (SIMS) [6] and scanning spreading resistance microscopy [6]. Nevertheless, the microstructures in the H implanted layer in ZnO have still not been studied in detail. In this research, we study the evolution of the microstructural and optical properties of H implanted ZnO with respect to annealing temperature and implantation dose. H- ions with doses ranging from 2.5x1016cm-2 to 1x1017cm-2 were implanted into the Zn face of hydrothermally grown ZnO single crystals at room temperature with an energy of 100keV. The samples were subsequently annealed in air at 600oC and 800oC for 1h. We characterized the H implanted ZnO with X-ray diffraction (XRD), transmission electron microscopy (TEM), photoluminescence spectroscopy and SIMS. The XRD analysis shows that H implantation creates deformed layers with elongated c-parameters in ZnO. X-ray rocking curve simulation shows that the deformed layers display a strain-depth profile consistent with the H implantation profile. The maximum strain in the implanted layer increases linearly with implantation dose. SIMS measurement shows that H concentration as high as 3x1018cm-3 to 7x1018cm-3 still remains in the implanted region after annealing at 800oC. This is surprising as Ip et al. [7] observed that 700oC is enough to remove all the implanted H in ZnO. The remaining H in our H implanted ZnO annealed at 800oC may originate from the Li-OH complex which is reported to dissociate at ~600oC but may reform during cooling down from temperatures as high as 1200oC [8]. Photoluminescence spectroscopy shows that a blue shift occurs in the UV emission of H implanted ZnO. TEM analysis on the evolution of the microstructures in H implanted ZnO with respect to the annealing temperature will be discussed. The defect distribution observed by TEM will be compared to the H concentration depth profile determined by SIMS. [1] C. G. Van de Walle., Phys. Rev. Lett. 85, 1012 (2000) [2] K. Ip et al., Appl. Phys. Lett. 82, 385 (2003) [3] A. Y. Polyakov et al., J. Appl. Phys. 94, 400 (2003) [4] H. L. Mosbacker et al., J. Vac. Sci. Technol. B, 25, 1405 (2007) [5] J. K. Lee et al., Appl. Phys. Lett, 86, 171102 (2005) [6] E. V. Monakhov et al., Appl. Phys. Lett, 87, 191910 (2005) [7] K. Ip et al., Appl. Phys. Lett. 81, 3996 (2002) [8] K. M. Johansen et al., Appl. Phys. Lett., 97, 211907 (2010)
9:45 AM - Z14.01
Deep-level Emission in ZnO Bulk Crystals and Nanowires: Intensity-dependent Recombination Dynamics Versus Indicator for Crystalline Quality
Tobias Voss 1 2 Dongchao Hou 1 Andreas Menzel 2 Carsten Ronning 3 Margit Zacharias 2
1University of Bremen Bremen Germany2University of Freiburg Freiburg Germany3University of Jena Jena Germany
Show AbstractVery frequently, the ratio of the integrated relative intensities of the excitonic near-band-edge emission and the defect luminescence bands in the green-to-red spectral region is taken as an indicator for the crystalline quality of ZnO samples, both in larger bulk and smaller nanowire crystals. Researchers often assume that both emission processes directly compete for the corresponding recombination processes of photo-generated electrons and holes: a larger density of crystalline defects results in an increased probability of trapping electrons in electronic defect states deep in the bandgap thereby increasing the visible luminescence intensity in favor of the excitonic one. This picture, however, neglects the important fact that the luminescence bands in ZnO in general behave in a distinctly different way as the excitation density is increased. We systematically studied the intensity dependence of the different luminescence bands in various ZnO nanowire samples and bulk crystals obtained under distinctly different synthesis conditions. The experiments are carried out with a HeCd laser as excitation source (325nm cw) at sample temperatures between 4 and 300K. Our results show that for almost all samples studied we were able to achieve a cross-over from a dominating defect-luminescence band at low excitation densities to a dominating excitonic emission band at significantly higher densities. We explain the results in terms of a rate-equation model for the deep-level emission in ZnO taking into account saturation effects occurring due to the limited number of deep trap states. Additionally, a super-linear increase of the near-band-edge emission above a certain threshold density due to strongly increasing contribution of exciton-exciton scattering processes promotes the dominating character of the excitonic emission band at higher excitation densities. Our results clearly demonstrate that the relative intensity of different luminescence bands in ZnO crystals is no suitable indicator for the density of deep levels or the crystalline quality in general. Only a careful analysis of absolute intensities measured by use of an integrating sphere allows for an assessment of the quantum efficiency and the relative contribution of trap states of ZnO samples by photoluminescence measurements.
10:00 AM - *Z14.02
Acceptor Dopants in Bulk and Nanoscale ZnO
Matthew D. McCluskey 1 Marianne C. Tarun 1 Samuel T. Teklemichael 1
1Washington State University Pullman USA
Show AbstractZinc oxide (ZnO) is a semiconductor that emits bright UV light, with little wasted heat. This intrinsic feature makes it a promising material for energy-efficient white lighting, nano-lasers, and other optical applications. For devices to be competitive, however, it is necessary to develop reliable p-type doping. Although substitutional nitrogen has been considered as a potential p-type dopant for ZnO, recent theoretical and experimental work suggests that nitrogen is a deep acceptor and will not lead to p-type conductivity. This talk will highlight recent experiments on acceptor doping of ZnO. In nitrogen-doped samples, a red photoluminescence (PL) band is correlated with the presence of deep nitrogen acceptors. PL excitation (PLE) measurements show an absorption threshold of 2.26 eV, in good agreement with theory. The results of these studies seem to rule out group-V elements as shallow acceptors in ZnO, contradicting numerous reports in the literature. It is possible that surface and interface conduction could be responsible for some of those observations. Optical studies on ZnO nanocrystals show some intriguing leads. At liquid-helium temperatures, a series of sharp IR absorption peaks arise from an unknown acceptor impurity. The data are consistent with a hydrogenic acceptor 0.46 eV above the valence band edge. While this binding energy is still too deep for many practical applications, it represents a significant improvement over the ~1.3 eV binding energy for nitrogen acceptors. Nanocrystals present another twist. Due to their high surface-to-volume ratio, surface states are especially important. From our PL and IR experiments, we have developed a “unified” model that attempts to explain acceptor and surface states in ZnO nanocrystals. In this model, the 0.46 eV level is shallow with respect to the surface valence band, raising the possibility of surface hole conduction.
10:30 AM - *Z14.03
Vacancy-impurity Complexes in ZnO
Filip Tuomisto 1
1Aalto University Aalto Finland
Show AbstractPositron annihilation spectroscopy is an experimental method that is particularly suitable for the identification vacancy defects in semiconductors. In a semiconductor material, positrons can get trapped at negative and neutral vacancy defects, and at negatively charged non-open volume defects given the temperature is low enough. The trapping of positrons at these defects is observed as well-defined changes in the positron-electron annihilation radiation. The combination of positron lifetime and Doppler broadening techniques with theoretical calculations provides the means to deduce both the identities and the concentrations of the vacancies. Performing measurements as a function of sample temperature and illumination gives detailed information on the charge states of the detected defects. The quest for mass-production-ready p-type ZnO has continued for more than a decade, and various candidates for shallow acceptors have been studied. The focus has been on two main groups, namely substitutional impurities from group I (Li, Na, substituting for Zn) and group V (N, P, As, Sb, substituting for O). Many reports of p-type conductivity have been published in the past years, and at least as many reports, based on both experimental and theoretical considerations, explaining why so many attempts to obtain p-type ZnO have failed: it turns out that the acceptor-like impurities tend to create deep states in the gap and compensate the residual, possibly H-induced, n-type conductivity of ZnO. In this contribution I review the results obtained with positron annihilation spectroscopy on the vacancy defects and their complexes with impurities in single-crystal ZnO. In particular, I will show how the positron methods can be used to selectively identify various kinds of vacancy-impurity complexes by combining state-of-the-art experimental and theoretical approaches. I will discuss the behaviour of these defects and defect complexes in various processing conditions and their possible interactions with other defects in the lattice.
11:30 AM - *Z14.04
Correlating Defects, Dislocations and Strain in ZnO Using Cathodoluminescence Spectral Imaging
Matthew R Phillips 1 Mark N Lockrey 1 Nadja Jankowski 1 2 Cuong Ton-That 1 Markus R Wagner 2 Axel Hoffmann 2
1University of Technology, Sydney Sydney Australia2Technische Universitaet Berlin Germany
Show AbstractCathodoluminescence (CL) spectrum imaging in a scanning electron microscope has significantly improved and extended the analytical capabilities of the CL technique. In addition to providing high spatial resolution CL maps of the concentration and distribution of defects, impurities and dopants, these spectral CL images have facilitated new approaches to extract information from this type of microanalysis data. Of particular interest are new CL peak-shift and peak-ratio spatially-resolved imaging techniques that can establish relationships between carrier recombination mechanisms in ZnO. Bulk single crystal a-plane (11-20), m-plane (10-10) and c-plane (0001) ZnO (MTI Corp., USA) were used in this study. To create a spatial distribution of point and extended structural defects, a 3 x 3 matrix of 100mN indents with a 70 mu;m spacing were made in all three samples using an Ultra Micron Indentation System (UMIS) with a 1mu;m spherical indenter. This load was sufficient to plastically deform the sample and produce ~ 9 mu;m diameter highly faceted pits with side-wall faces along the high symmetry planes in each crystal orientation. CL spectral images of the donor bound exciton (DBX), free exciton (FX), near band edge (NBE) and deep level (DL) point defect emissions around the indent were generated by collecting spectral CL maps at 10 K, 80 K and 300 K respectively. Around the pits dislocations form following the expected basal and pyramidal slip and glide systems. These extended structural defects act as non-radiative recombination centers, generating 6-pointed stars in the c-plane and bowtie features in the a- and m-plane CL images. CL spectral images of the DBX emission at 3.36 eV in the (11-20) and (10-10) planes reveal regions of compressive (blue shift) and tensile (red shift) strain parallel and perpendicular to the [0001] direction respectively. Additional lobes of compressive strain which appear on both sides of the [0001] axis in these planes arise from the hexagonal symmetry. Strain fields were also present in the c-plane but are significantly lower than those found in the a- and m-planes. The residual strain can be quantified using photoluminescence DBX peak position verses strain data in the literature. Comparable strain contrast is observed around the indents in each plane at 80 K and 300 K in the FX and NBE peak-shift peak-ratio images. These data confirm that the tensile and compressive strain also produces shifts in the ZnO bandgap energy at elevated temperatures. The DL emission, comprised of broad green (GL), yellow (YL) and red (RL) peaks, exhibited spatial variation around the indents, being most pronounced in the c-plane. RL was strongest around the plastically deformed regions at the perimeter of the high dislocation density regions where the NBE was quenched. No correlation was observed between the strain fields and the DL emission.
12:00 PM - Z14.05
Grazing Incidence X-Ray Topographic Studies of Threading Dislocations in Hydrothermal Grown ZnO Single Crystal Substrates
Tianyi Zhou 1 Balaji Raghothamachar 1 Fangzhen Wu 1 Michael Dudley 1
1Stony Brook University Stony Brook USA
Show AbstractZinc oxide (ZnO) is a wide bandgap semiconductor with many desirable properties that make it highly suitable for application in emitter devices in the blue to ultraviolet region and as a substrate material for GaN-based devices. Availability of low-defect single crystal ZnO substrates will facilitate growing low-defect active layers required to realize these applications. The hydrothermal method is the prevalent technique for growing ZnO single crystals. The presence of structural defects such as dislocations, inclusions, low angle grain boundaries, etc. will strongly influence the performance, lifetime and reliability of devices grown on these substrates. While there are reports of bulk x-ray topography studies that map basal plane dislocations and low angle grain boundaries in ZnO substrates, limited studies have been carried out to characterize other dislocation defects. Different dislocation defect types will affect devices to different extents and therefore it necessary to carry out detailed characterization of all dislocation types. For e.g. basal plane dislocations that lie parallel to the (0001) substrate surface are not likely to strongly affect the overall performance but threading defects that propagate into the device layers can be more damaging. Using X-ray topography in transmission geometry, we can image dislocations in the bulk. For image threading defects in large wafers, the grazing incidence geometry is highly suitable. In our study, the ZnO single crystal substrates grown by hydrothermal method have been characterized by grazing incidence X-ray topography using a monochromatic X-ray beam. 11-24 reflections were recorded from the (0001) wafers and the different contrast patterns produced by different threading defects were noted. To uniquely identify the Burgers vectors of these threading dislocation defects, we use defect image simulation based on ray tracing to compare with observed defect contrast. Previously, we have successfully correlated the simulated images with experimental images for threading screw dislocations (TSD) and threading edge dislocations (TED) in 4H-SiC homoepitaxial layers. Our studies showed that the TED density is much higher than TSD density in ZnO substrates, while the overall dislocation density is about 0.5×103cm3. We also find that there exists large amount of threading defects that produce contrast not matched to TSDs or <11-20> TEDs indicating possible partial dislocation or mixed dislocations. Additional simulations of other possible dislocation types are being carried out to identify these new defects. Results from these studies will be presented and discussed.
12:15 PM - Z14.06
Electron Energy Structure and Dielectric Function Spectra of ZnO:Ga: First Principles Theory and Experiment
Jongbum Kim 1 Gururaj Naik 1 Alexandra Boltasseva 1 Alexander V Gavrilenko 2 Krishnaveni Dondapati 2 Vladimir I Gavrilenko 2
1Purdue University West Lafayette USA2Norfolk State University Norfolk USA
Show AbstractTransparent conducting oxides (TCOs) such as heavily doped ZnO are shown to be low loss plasmonic materials in the near-infrared. This has led to a growing interest in replacing noble metals with TCOs in the near-infrared metamaterial devices. TCOs such as heavily doped ZnO show metal-like optical properties in the near-infrared only when heavily doped (~1e21 per cubic cm). Such high doping requires careful optimization of their growth procedure and hence, requires an insight into the physics of doping and its relation to the optical properties. Many experimental studies have been reported on the optical and material properties of heavily doped ZnO. However, the relation between material and optical properties has not been well-studied from a theoretical perspective. Here, we study the optical properties of heavy Ga-doped ZnO (GZO) both experimentally and theoretically. The electron energy structure and optical functions of wurtzite GZO crystals are studied from first principles density functional theory (DFT). The ground states of the systems were obtained by the total energy minimization method within the local density approximation (LDA). The effects of Ga doping on electron energy structure and optical functions are studied. The experimental studies involved pulsed laser deposition of polycrystalline thin films of undoped ZnO and GZO with 3 and 6 wt% Ga-doping. The structural properties of the films were studied using X-ray diffraction measurements and the optical properties were studied using a spectroscopic ellipsometer in the wavelength range of 300-2000 nm. The experiments showed Moss-Burstein shift in the optical bandgap of GZO films. Larger doping resulted in larger blue shift of the UV absorption edge of these films. The structural properties studied from the 0002 reflection of CuKα X-ray showed larger lattice expansion with larger doping. Also, it was observed that the film crystallinity improved with larger doping. Theoretical predictions for substitutional doping indicated lattice shrinking which higher doping, which is against the observed trend. Hence, significant alloying should have resulted at such high doping levels, which could produce the observed trend in lattice expansion. Alloying effects also explain the improvement of crystallinity with larger doping. The theoretical calculations including alloying effects matched the experimental observations reasonably well and the resulting optical properties are in good agreement with the experimental data. In conclusion, the theoretical studies on the electronic structure and optical properties of heavily doped GZO films provided insight into the doping mechanism in these films. Heavy doping was found to cause significant alloying effects. This study has provided a better means to understand and optimize the optical properties of GZO films for plasmonic and metamaterial applications in the near-infrared.
12:30 PM - Z14.07
Thermodynamics of Carrier Compensation in Ga-doped ZnO
Stephan Lany 1 A. Zakutayev 1 N. H. Perry 2 P. Ndione 1 J. D. Perkins 1 J. J. Berry 1 D. S. Ginley 1 T. O. Mason 2
1Natl. Renewable Energy Lab. Golden USA2Northwestern University Evanston USA
Show AbstractIn thin-film form, ZnO can be doped by Al or Ga to very high electron concentrations up to 10^21cm^-3. Considering that Zn vacancies have been identified as an important compensating defect both in experiment and theory, there is considerable interest in describing quantitatively the dependence of the carrier concentrations on the key thermodynamic parameters (temperature T, and O2 partial pressure pO2). In order to develop a comprehensive picture on the thermodynamics of compensation, we combined theoretical modeling with experimental measurements. Theoretically, we performed modeling based on theoretically predicted defect formation energies, taking into account the effect of the formation of defect pairs, such as (2GaZn-VZn) during compensation. Experimentally, we determined the electrical properties of ZnO:Ga thin-films grown by pulsed laser deposition (PLD) with the variation of T and pO2 after annealing and in in-situ conductivity measurements. The comparison between theory and experiment indicates that as-grown ZnO:Ga films are in a non-equilibrium state, exceeding both the solubility limit of Ga and the maximum carrier density limited by compensation in equilibrium. During annealing the carrier densities are reduced considerably, and the equilibration time decreases with not only with increasing T but also with increasing pO2. For example, at high pO2=1atm, the conductivity decays by few orders of magnitude even at a moderate temperature of 300 C over the course of several days. We further investigate both theoretically and experimentally the defect signatures in photoluminescence that are associated with compensation. In view of the finding that doping of thin-films is governed by non-equilibrium processes, we compare the dependence of the electrical properties on the (T, pO2) process parameters during film growth with the respective thermodynamic behavior. Apart from providing insights on the defect physics of ZnO, this study also highlights the importance of non-equilibrium processes during thin-film growth, whose control should aid the optimization of film properties. Supported by the US Department of Energy, Office of Energy Efficiency and Renewable Energy.
12:45 PM - Z14.08
Optical Properties of Highly Conductive and Transparent GZO Grown by Molecular Beam Eptitaxy
Huiyong Liu 1 M. Karaliunas 2 V. Celedinas 2 K. Jarasiunas 2 Vitaliy Avrutin 1 N. Izyumskaya 1 M. Reshchikov 3 U. Ozgur 1 Hadis Morkoc 1
1Virginia Commonwealth University Richmond USA2Vilnius University Vilnius Lithuania3Virginia Commonwealth University Richmond USA
Show AbstractWe report on the optical properties of highly conductive and transparent ZnO heavily doped (~2 at. %) with Ga (GZO) layers grown by molecular beam epitaxy (MBE) on a-plane sapphire substrates under metal-rich conditions (reactive oxygen to incorporated Zn and Ga ratio < 1:1). The studied GZO layer grown under metal-rich condition exhibited an electron concentration n0 as high as 9.2×1020 cm-3, a room-temperature (RT) mobility µ of ~41 cm2/V-s, and a high transmittance of ~95% in the visible wavelength range. The RT photoluminescence (PL) spectra recorded from this GZO layer at low excitations revealed two emission peaks at 3.275 eV and 3.51 eV in the near-band-edge (NBE) emission region. To explore the origin of these two peaks, the PL measurements were carried out for a nominally undoped ZnO layer grown under optimized conditions (n0~1×1017 cm-3 and µ~73 cm2/V-s) for a comparison purpose. The RT PL spectrum of the ZnO layer exhibits free-exciton line in the NBE region at 3.27 eV typical of single-crystal ZnO. The peaks located near ~3.27 eV in both the undoped ZnO and GZO layers exhibit very similar dependence of PL intensity on the excitation power, also the band at 3.51 eV, which is consistent with the absorption edge of GZO, revealed the same power-dependent behaviour of PL intensity (with the index 1). Based on the temperature-dependent PL measurements performed for the GZO layer, we proposed the excitonic nature of the 3.27 eV line, while the band at 3.51 eV is attributed to the free-electron plasma in highly degenerate GZO layer. At high excitation, created by 4th harmonic of pulsed YAG:Nd3+ laser (lambda;exc = 266 nm), the stimulated emission (SE) line appears with the threshold excitation power density values 1.2 MW/cm2 and 0.86 MW/cm2 for undoped ZnO and GZO layers, respectively. The P band was also detected for the undoped ZnO layer at 3.196 eV (69 meV apart from spontaneous emission peak). Moreover, the peak position of SE lines is quite different - 3.13 eV and 3.168 eV for undoped ZnO and GZO layers, respectively. The optical properties prove high crystalline quality of GZO layers despite the high doping concentration. The high structural quality of this material has also been confirmed by transmission electron microscopy as well as the temperature dependent Hall effect measurements indicating very low level of compensation of Ga donors with native defects and defect complexes and the negligible effect of grain-boundary scattering on the electron mobility [1]. [1] H.Y. Liu, V. Avrutin, N. Izyumskaya, Ü. Özgür, A.B. Yankovich, A.V. Kvit, P.M. Voyles, and H. Morkoccedil;, “Electron scattering mechanisms in GZO films grown on a-sapphire substrates by plasma-enhanced molecular beam epitaxy”, J. Appl. Phys. 111, 103713 (2012).