Symposium Organizers
John J. Boeckl, Air Force Research Laboratory
Krzysztof Koziol, University of Cambridge
Wonbong Choi, Florida International University
Young Hee Lee, "Sungkyunkwan University Advanced Institute of Nanotechnology"
Jud Ready, Georgia Tech Research Institute
Symposium Support
Agilent Technologies
Air Force Research Laboratory
AIXTRON SE
Angstrom Engineering Inc.
Asian Office of Aerospace RD
Asylum Research
Bluestone Global Tech, Inc.
European Office of Aerospace RD
Graphene Platform
Graphenea Nanomater
W5F1: Graphene Forum I: Funding Agency Presentations
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 3, Ballroom B
2:00 AM - *W5F1.01
Nanocarbons from a Historical Perspective
Mildred Dresselhaus 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractNanocarbons have been under investigation for the past 40 years. The field has attracted increasing interest with each new discovery, from intercalation compounds to fullerenes to nanotubes to graphene, each enriching our large-scale understanding on nanocarbons. Starting with this background we review recent advances occurring in my group from the study of the Raman spectra of individual double wall and triple wall carbon nanotubes, the connections between graphite and few layer graphene, and transformations from one nanocarbon to another.
2:30 AM - *W5F1.02
Carbon Nanomaterials in Australia - Devices, Structures and Capabilities
Rosie Hicks 1
1Australian National Fabrication Facility (ANFF) Clayton Australia
Show Abstract2:50 AM - *W5F1.03
Graphene Research in China
Kexin Chen 1
1National Natural Science Foundation of China Beijing China
Show AbstractGraphene, a monolayer of sp2-bonded carbon atoms, has been attracting worldwide interests because of its unique two-dimensional structure, various fascinating properties and a wide range of intriguing potential applications. The graphene research in China is very active and has been developing very fast in the past several years, which covers nearly all the areas related to graphene including theories, synthesis, physical and chemical properties, and applications. Over 100 research institutions have been involved in graphene research with fast-growing project supports. Over 1,200 papers on graphene were published in 2011, and the total number of publications ranks second in the world. In this talk, I will give an overview about the status, funding and highlights of graphene research in China.
3:10 AM - *W5F1.04
Current Status and Future Prospect of Graphene / Nanoelectronics R&D in Japan
Seiichiro Kawamura 1
1Japan Science and Technology Agency (JST) Tokyo Japan
Show AbstractThis talk summarizes current status and future prospect of atomically thin two-dimensional functional films such as graphene R&D in Japan. Japan's R&D strategy on graphene and its application to nanoelectronics has so far been less active relative to those of US, EU and the other East Asian countries. However, Japan is a leading country in the field of material science as well as physics and chemistry. Since cooperation and collaboration among physicists, chemists and material scientists is essential to research on atomically thin functional films, Japan's future efforts should include promotion of large-scale national projects and programs that not only are based on research integrated with related fields and "vertical" cooperation with applied fields such as next-generation electronic devices and systems, but also should include young researcher training and international cooperation. The goal of these projects is to realize ultra-low-power electronic devices and systems with new materials, to achieve energy and resource conservation, which is strongly needed to create future sustainable society and to meet societal expectations. The talk will cover funding status, the critical issues, some challenges and the highlights of graphene R&D in Japan.
3:30 AM - W5F1
European Representative - Speaker TBD
Show AbstractW5F2: Graphene Forum II: Commercialization Presentations
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 3, Ballroom B
4:30 AM - *W5F2.01
Graphene: Applications in Electronics and Photonics
Phaedon Avouris 1
1T. J. Watson Research Center Yorktown Heights USA
Show AbstractIn the area of graphene electronics, I will discuss applications involving very high frequency (>100 GHz) graphene transistors and on related device physics issues, such as the role of electrical contacts, current saturation, graphene topology and device size scaling. I will then review some optical properties of graphene with emphasis on the infrared, far-infrared and THz ranges of the spectrum. I will discuss ways of controlling graphene&’s absorption in these spectral ranges and applications of graphene in photonics. Optical excitation of graphene and graphene nanostructure plasmons, their properties, behavior and applications will be discussed.
5:00 AM - *W5F2.02
Carbon Materials in the Next Several Decades: Some Important Objectives
Rodney Ruoff 1
1University of Texas, Austin Austin USA
Show AbstractGraphene-based materials are promising because of their electronic and thermal transport, mechanical properties, high specific surface area, that they can act as an atom thick layer, barrier, or membrane, among other reasons. (Our micromechanical exfoliation approaches [1,2] conceived of in 1998 yielded multilayer graphene and one paper described in detail how monolayer graphene could be obtained [1]). But in this 15-minute presentation I will discuss new materials as yet not made, that are important targets for materials synthesis: (i) the negative curvature carbons (see reference 3) and their likely applications, (ii) ultrathin very large area sp3-bonded sheets (we might call these ‘ultrathin large area diamond&’ but when very thin such as 1 nanometer, they will have properties strongly differing from bulk diamond; and some examples of what such large area (kilometers long, meter(s) wide) ultrathin diamond would be used for. This talk is based in part on a contribution to the special issue of the MRS Bulletin on graphene, nominally due out in November. Support of our work on graphene by the W. M. Keck Foundation, NSF, DARPA ‘iMINT&’, DARPA ‘CERA&’, ONR, SWAN NRI, ARO, AEC, and the SRC, is greatly appreciated. 1. Lu XK, Yu MF, Huang H, and Ruoff RS, Tailoring graphite with the goal of achieving single sheets, Nanotechnology, 10, 269-272 (1999). 2. Lu XK, Huang H, Nemchuk N, and Ruoff RS, Patterning of highly oriented pyrolytic graphite by oxygen plasma etching, Applied Physics Letters, 75, 193-195 (1999). 3. Zhu, Yanwu; Murali, Shanthi; Stoller, Meryl D.; Ganesh, K. J.; Cai, Weiwei; Ferreira, Paulo J.; Pirkle, Adam; Wallace, Robert M.; Cychosz, Katie A.; Thommes, Matthias; Su, Dong; Stach, Eric A.; Ruoff, Rodney S. Carbon-Based Supercapacitors Produced by Activation of Graphene. Science 332, 1537-1541 (2011).
5:20 AM - *W5F2.03
Prospects for Commercializing Graphene Materials
Michael A Capano 1 Dallas Morisette 1
1Group 4 Development West Layfayette USA
Show AbstractGraphene electronic materials have been investigated for numerous end applications including rf transistors, spin logic chips, solar cells, flexible displays and a variety of sensors. These applications exploit graphene's unique combination of electrical, optical, thermal and mechanical properties. The nature of these diverse applications requires graphene materials in vastly different forms. Methods of producing graphene on large area platforms by sublimation, deposition, layer transfer and conductive inks are under development by various groups. Within this landscape of materials processing, Group 4 Development (G4D) will target specific graphene materials products for commercialization. In this talk, a description of technologies that are under development at G4D will be presented, as well as an update of its forthcoming commercial products.
5:40 AM - *W5F2.04
Commercializing Large-area Graphene: Making Sense of Market Opportunities
Mike Patterson 1
1Graphene Frontiers Philadelphia USA
Show AbstractW6/YY6: Joint Session: Low Voltage Electron Microscopy
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 210
8:00 AM - W6.01/YY6.01
Direct Identification of Metallic and Semiconducting Single-walled Carbon Nanotubes in Scanning Electron Microscope
Kaili Jiang 1 Jie Li 1 Yujun He 1 Yimo Han 1 Kai Liu 1 Jiaping Wang 1 Qunqing Li 1 Shoushan Fan 1
1Tsinghua-Foxconn Nanotechology Research Center Beijing China
Show AbstractDue to their excellent electrical and optical properties, carbon nanotubes have been regarded as extremely promising candidates for high-performance electronic and optoelectronic applications. However effective and efficient distinction and separation of metallic and semiconducting single-walled carbon nanotubes are always challenges for their practical applications. In our experiment, horizontally-aligned and high-density SWCNT arrays synthesized via chemical vapor deposition (CVD) on a stable temperature-cut (ST-cut) quartz substrate were used. And we show that these metallic and semiconducting single-walled carbon nanotubes on SiO2 can have obviously different contrast in scanning electron microscope, and thus can be effectively and efficiently identified. We have demonstrated that semiconducting and metallic single-walled carbon nanotubes on SiO2, probably a variety of insulator substrates which were positively or negatively charging in low-voltage SEM, can have obviously different contrast in SEM, and thus can be effectively and efficiently identified. The correlation between conductivity and contrast difference has been confirmed by using voltage-contrast scanning electron microcopy, Peakforce Tunneling Atom Force Microscopy, and field effect transistor testing. This phenomenon can be understood via a proposed mechanism involving the e-beam induced surface potential of insulators and the conductivity difference between metallic and semiconducting SWCNTs. This method demonstrates great promise to achieve rapid and large-scale distinguishing between metallic and semiconducting single-walled carbon nanotubes, adding a new function to conventional SEM.
8:15 AM - W6.02/YY6.02
The Catalyst Phase during Carbon Nanostructure Growth
Bernhard Christian Bayer 1 Christoph Tobias Wirth 1 Martin Fouquet 1 Andrew D. Gamalski 1 Santiago Esconjauregui 1 Robert S. Weatherup 1 Piran R. Kidambi 1 Caterina Ducati 1 Carsten Baehtz 2 Raoul Blume 3 Robert Schloegl 3 John Robertson 1 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2Helmholtz-Zentrum Dresden Rossendorf Dresden Germany3Fritz-Haber-Institut der Max-Planck-Gesellschaft Berlin Germany
Show AbstractWe study the catalyst state during chemical vapor deposition (CVD) of carbon nanostructures by complementary in-situ grazing-incidence X-ray diffraction, in-situ X-ray reflectivity, in-situ X-ray photoelectron spectroscopy and environmental transmission electron microscopy. For near-atmospheric pressure carbon nanotube forest CVD, we find that typical oxide supported Fe catalyst films form mixtures of bcc and fcc phased Fe nanoparticles upon reduction and that depending on this phase composition different growth modes occur. For fcc-rich Fe nanoparticle distributions, we find metallic Fe is the active catalyst phase, implying that carbide formation is not a prerequisite for nanotube growth. For bcc-rich catalyst mixtures, Fe3C formation more readily occurs and constitutes part of the CNT growth process. Our data indicates that metastable catalyst phases dominate the CNT growth. We propose that this behavior can be rationalized in terms of kinetically accessible pathways, which we discuss in the context of the bulk iron-carbon phase diagram with the inclusion of phase equilibrium lines for metastable Fe3C. In contrast, for low pressure CVD of single-wall nanotubes from Co catalysts we find that the catalyst state is purely metallic but that catalyst-support interactions (silicide formation) account for a remarkably narrow chiral distribution of the resulting tubes. Finally, we use the complementary in-situ metrology to compare the structural and chemical evolution of such nanoparticulate metals (1-d templates) to flat metal films (2-d templates) to elucidate similarities and differences between nanotube and graphene nucleation in CVD.
8:30 AM - W6.03/YY6.03
Single-walled Carbon Nanotube Growth Mechanisms Studied by In situ TEM and Ex-situ Raman Measurements
Matthieu Picher 1 Jonathan Winterstein 2 Steve Blankenship 1 Renu Sharma 1
1NIST Gaithersburg USA2FEI Company Hillsboro, OR 97124 USA
Show AbstractCarbon nanotubes (CNTs) are considered as prototypical “new” materials in nanoscience and nanotechnology. Indeed, CNTs provide two particularly exciting prospects. Firstly, the versatility of their properties according to their structure and their dimensions makes them objects of fundamental interest. Secondly, their outstanding mechanical strength, electrical and thermal conductivity and opto-electronic properties offer many opportunities for industrial applications. The combination of these exceptional and versatile properties might lead to the development of electronic systems where both active devices and interconnects are based on the same material. However, in spite of longstanding efforts, no major electronics application involving CNTs is available in the market yet. The main obstacle in the development of a CNT-based technology is that many aspects of their growth mechanisms remain obscure. In particular, the relationship between the nucleating/growing nanotube and the catalyst nanoparticle is not well understood. Despite some remarkable improvements in the control of CNTs features at the synthesis stage during the last decade [1-4], the optimization of CNT growth conditions remain mostly empirical and nanotube samples are frequently a mixture of different structures (number of walls, length, diameter and chiral angle) and morphologies (straight, bundled or entangled). Here, we have employed an in situ approach combining high resolution imaging and Electron Energy Loss Spectroscopy using an environmental scanning transmission electron microscope (ESTEM) in order to probe the relevant instants of a nanotube life at the atomic scale. The composition and the crystal structure of the catalytic nanoparticles were investigated as a function of the growth condition (temperature, pressure) and the nanoparticle size for various catalyst/carbon precursor combinations. The nature of the grown species (number of walls, diameter, crystallinity) were also systematically examined and correlated. Ex situ Raman measurements were used to characterize the in situ synthesized samples at a large scale. [1]. Harutyunyan AR, Chen G, Paronyan TM, Pigos EM, Kuznetsov OA, Hewaparakrama K, et al. Preferential growth of single-walled carbon nanotubes with metallic conductivity. Science. 2009;326(5949):116. [2]. Chiang W, Sankaran R. Linking catalyst composition to chirality distributions of as-grown single-walled carbon nanotubes by tuning NixFe1minus; x nanoparticles. Nat Mater. 2009;8(11):882-6. [3]. Bachilo S, Balzano L, Herrera J, Pompeo F, Resasco D, Weisman R. Narrow (n, m) Distribution of Single-Walled Carbon Nanotubes Grown Using a Solid Supported Catalyst. J Am Chem Soc. 2003;125(37):11186-7. [4]. Zheng L, O'connell M, Doorn S, Liao X, Zhao Y, Akhadov E, et al. Ultralong single-wall carbon nanotubes. Nat Mater. 2004;3(10):673-6.
8:45 AM - W6.04/YY6.04
Revealing Angular Dependence on the Optical Response of Bilayer Graphene by Electron Energy-loss Spectroscopy
Juan Carlos Idrobo 1 Wu Zhou 2 1
1Oak Ridge National Laboratory Oak Ridge USA2Vanderbilt University Nashville USA
Show AbstractWe present a systematic study of the optical response of bilayer graphene as a function of misorientation angle using a combination of electron energy-loss spectroscopy in an aberration-corrected scanning transmission electron microscope. We find that an additional absorption peak (~4.40 eV), which has not been reported before, emerges in the ultraviolet region of the energy loss function of bilayer graphene for large misorientation angles (~30 degrees). The additional absorption peak is below the nominal π peak of bilayer graphene (~5.10 eV) and also at a different energy position of the π peak for monolayer graphene (4.95 eV). The analysis of the data also reveals that π peak as well as the π+σ peak (~15 eV) do not shift in energy as function of misorientation angle. The observations will be explained using total-energy first-principles calculations based on density functional theory within the random phase approximation. The results obtained in this study indicate that the misorientation angle between graphene layers can affect the optical properties, therefore suggesting that the misorientation angle between layers could also be used as a variable in the designing of nobel optoelectronic devices based on hybrid two-dimensional materials. This research was supported by Oak Ridge National Laboratory's Shared Research Equipment (ShaRE) User Facility (JCI), which is sponsored by the Office of Basic Energy Sciences, U.S. Department of Energy, and by the National Science Foundation grant No. DMR-0938330 (WZ).
W4: Graphene Synthesis and Characterization I
Session Chairs
John Boeckl
Young Hee Lee
Tuesday AM, November 27, 2012
Hynes, Level 3, Ballroom B
9:00 AM - W4.01
Ab-initio Identification of a New Structure of Graphene Induced by GaN Epitaxy
Yoshihiro Gohda 1 Shinji Tsuneyuki 1
1The University of Tokyo Tokyo Japan
Show AbstractOn graphite, GaN has been grown epitaxially by using pulsed laser deposition. Cleaving graphite layers after depositing nitrides by e.g. photoexfoliation should provide graphene as a two-dimensional substrate. Theoretical studies have suggested that the GaN-graphene interface has a well-defined 1x1 structure. However, the lattice mismatch between graphene and GaN is extraordinary large, c.a. 30%, encouraging to explore other stable interface structures. Here, we report first-principles predictions where the structure of graphene changes drastically with the epitaxial growth of GaN, by examining various non-1x1 superstructures. As the GaN epitaxy proceeds expanding graphene gradually, the tensile strain for graphene is released suddenly by partial breaking of the C-bond network. In contrast, AlN-graphene interfaces have lattice-matched structures as the most stable configuration. The interface exhibits spin polarization, even though ferromagnetic coupling is very weak in contrast with the AlN/MgB2 interface. Ref.: Y. Gohda and S. Tsuneyuki, Appl. Phys. Lett. 100, 053111 (2012).
W6/YY6: Joint Session: Low Voltage Electron Microscopy
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 210
9:00 AM - W6.05/YY6.05
Effects of Oxidation and Chlorination Steps of HiPco Single-walled Carbon Nanotubes Revealed by XPS, TGA-MS and HR-TEM Studies
Naoual Allali 1 2 5 Martine Mallet 1 Xavier Devaux 3 Veronika Urbanova 1 Mathieu Etienne 1 Brigitte Vigolo 4 Edward McRae 4 Alexander Soldatov 5 Manuel Dossot 1 Victor Mamane 2
1LCPME Villers-les-Nancy France2SRSMC Vandoeuvre-les-Nancy France3IJL Nancy France4IJL Vandoeuvre-les-Nancy France5LTU Lulea Sweden
Show AbstractOne strategy to covalently functionalize single-walled carbon nanotubes (SWCNTs) is to oxidize the side-walls by an acidic treatment step to create COOH carboxylic functions and then convert these functions to COCl groups by reacting with SOCl2. The acid chloride functions can subsequently be reacted with many different grafting groups and offer great flexibility in terms of chemistry on tubes. However, the control of the functionalization process, i.e. the control of the number of covalent defects and the efficiency of the overall grafting process without destroying the intrinsic electronic and mechanical properties of CNTs, requires estimating the number of defects created at each step. In the present work, different oxidative conditions have been used to create SWCNTs with different kinds and densities of oxidized functions. A HiPco sample from NanoIntegris (SuperpureTM grade, highly purified sample), was used as one of the best starting materials commercially available on the market. The use of such a clean sample, with less than 2% of metallic impurities and less than 5% of carbonaceous impurities, is absolutely essential in order to obtain reliable results for quantitative analysis. This allowed us to quantify the number of created defects by spectroscopic, thermal and microscopic techniques, especially X-Ray photoelectron spectroscopy (XPS), thermogravimetric analysis coupled with mass spectrometry (TGA-MS) and high-resolution transmission electron microscopy (HR-TEM) associated with energy-dispersive X-ray spectroscopy (EDS). After the chlorination step with SOCl2, these techniques quantified the number of chlorine atoms grafted on the CNT side-walls. Finally, a grafting reaction with ferrocene derivatives and other electro-active groups was also successfully done on these samples. These functionalized CNTs were deposited on a glassy carbon electrode and cyclic voltammetry was used to evaluate the electrochemical activity of this modified electrode toward electron shuttle of biological interest such as nicotinamide adenine dinucleotide (NADH). The combination of techniques used in this study enabled estimating the level of functionalization at each step of the process, which gives a strong rationale for the optimization of such CNT treatment.
W7: Poster Session: Graphene I
Session Chairs
John Boeckl
Young Hee Lee
Tuesday PM, November 27, 2012
Hynes, Level 2, Hall D
9:00 AM - W7.01
Synthesis of Graphene Nanowalls by Ethanol-hydrogen-argon PECVD
Rajen Patel 1 Chi Yu 1 Marek Sosnowski 1 Zafar Iqbal 1
1New Jersey Institute of Technology Newark USA
Show AbstractCarbon nanowalls comprising of a few graphene layers that are vertically aligned on silicon wafer and nickel foil substrates have been reproducibly produced by radio frequency (RF) ethanol-hydrogen-argon plasma-enhanced CVD. The reaction was carried out for 60 minutes at 850C at 300-400 torr and plasma power of 1.8 to 2.0 kW. Hydrogen ions from hydrogen play the role of catalyst for graphene growth. High temperature on the reactor walls and substrate, and the application of an RF plasma converts ethanol in the presence of hydrogen to carbon and OH radicals, and H+ ions. It is worth noting that the parameters that differentiate the growth of graphene nanowalls from the growth of carbon nanotubes is the high concentration of H+ ions in the plasma and the absence of transition metal catalysts on the substrates. The samples produced were characterized in detail by scanning electron microscopy and Raman spectroscopy. Further structural analyses by transmission electron microscopy (TEM) and electron diffraction were carried out on samples removed from the substrates by sonication and deposited on TEM grids. Electrochemical hydrogen adsorption measurements were carried out using the carbon nanowalls deposited on silicon as working electrodes in 6M KOH aqueous solution. The results are compared with similar hydrogen adsorption measurements on carbon nanotubes.
9:00 AM - W7.02
Combinatorial Methods for Wafer-scale CVD Graphene Synthesis
Sandip Niyogi 1 Sean Barstow 1 Kent Child 1 Steve Garner 1 Brian Hatcher 1 Charlene Chen 1 Ed Haywood 1 Wright Jason 1 ShouQian Shao 1 Chi-I Lang 1 Jeremy Cheng 1
1Intermolecular Inc. San Jose USA
Show AbstractControlling the uniformity of graphene over large areas requires simultaneous optimizations of the catalytic surface and the synthesis parameters. Application of combinatorial methods to optimize the catalytic substrate for graphene synthesis on 200mm wafers, will be discussed. The thin film stacks (Al/Ni or Ti/Ni) were prepared using magnetron sputtering in PVD chambers capable of depositing isolated spots of varying compositions<1> and the graphene synthesis was carried out in a low-pressure CVD chamber. In-situ TEM experiments have demonstrated that crystallization of carbon is preferred on Ni[111] as opposed to Ni[200].<2> Success in applying this principle to grow large area graphene has been limited by the growth of large area single-crystalline films of transition metals.<3,4> Our results indicate that an optimum substrate configuration consists of a thin-film stack that stabilizes the Ni[111] crystal face post-CVD and is a mixed phase as-deposited. While the [111] face stabilizes the sp2 carbon lattice, it is known that the solubility of carbon is higher in the [200] face. The XRD peak of C[002] was used to rapidly screen combinatorial samples for graphene growth, Raman microscopy and HR-TEM was used to analyze graphene samples. The overall results indicate a clear benefit of using combinatorial catalytic substrate discovery for graphene synthesis using CVD. This material is based on research sponsored by DARPA/Defense Microelectronics Activity (DMEA) under agreement number H94003-10-2-1003. The United States Government is authorized to reproduce and distribute reprints for Government purposes, notwithstanding any copyright notation thereon. References: 1. Hashim, I.; Mathur, M.; Phatak, P.; Kuse, R.; Malhotra, S.; Barstow, S.; Shanker, S.; Chinag, T.; Combinatorial PVD and ALD workflows for cost-effective R&D, Solid State Technology, 2009, 52(3), 26-31. 2. Helveg, S.; Lopez-Cartes, L.; Sehested, J.; Hansen, P. L.; Clausen, B. S.; Rostrup-Nielsen, J. R.; Abid-Pedersen, F.; Nerskov, J. S.; Atomic-scale imaging of carbon nanofibre growth, Nature, 2004, 427, 426-429. 3. Zhang, Y.; Gomez, L.; Ishikawa, F. N.; Madaria, A.; Ryu, K.; Wang, C.; Badmaev, A.; Zhou, C.; Comparison of Graphene growth on single-crystalline and polycrystalline Ni by chemical vapor deposition, J. Phys. Chem. Lett., 2010, 1, 3101-3107. 4. Thiele, S.; Reina, A.; Healy, P.; Kedzierski, J.; Wyatt, P.; Hsu, P-L.; Keast, C.; Schaefer, J.; Kong, J.; Engineering polycrystalline Ni films to improve thickness uniformity of the chemcia vapor deposition grown graphene films, Nanotechnology 2010, 21, 015601.
9:00 AM - W7.03
Bottom-up Synthesis of Graphene Nanoribbons from Poly (Phenylene Ethynylene)s
Hasan Arslan 1 Fernando Javier Uribe-Romo 1 William R. Dichtel 1
1Cornell University Ithaca USA
Show AbstractNanostructured forms of graphene with well-defined structures, including graphene nanoribbons (GNRs) and graphene quantum dots, have attracted interest as high performance semiconductors and luminescent probes. Top-down approaches, including patterning graphene or unzipping carbon nanotubes (CNTs), have provided access to GNRs and allowed their electronic properties to be measured, but these methods do not provide atomically precise control over the GNR width and edge structure. Bottom-up approaches, in which GNRs are synthesized from specific molecular precursors, promise to provide such control but have so far not produced sufficiently long, dispersed ribbons deposited on insulating substrates. We developed a solution based bottom-up synthesis of GNRs, which relies on a benzannulation reaction at each carbon-carbon triple bond of poly(phenylene ethynylene)s (PPEs) followed by oxidative dehydrogenation for graphitization. PPEs are attractive GNR precursors because their polymerization is well established, tolerates a broad range of functional groups, and provides high molecular weight materials. The optical and electronic properties of these bottom-up GNRs will be discussed, as well as computational efforts to improve their dispersibility. The synthesis of several GNR model compounds will also be presented, which themselves are intriguing and previously unavailable polycyclic aromatic hydrocarbons.
9:00 AM - W7.04
Chemical Kinetics in CVD Synthesis of Graphene on Ni Foil from Ethanol and Dimethyl Ether
Bo Hou 1 Xiao Chen 1 Pei Zhao 1 Erik Einarsson 1 2 Shohei Chiashi 1 Shigeo Maruyama 1
1The University of Tokyo Tokyo Japan2The University of Tokyo Tokyo Japan
Show AbstractWe demonstrate a facile and stable chemical vapor deposition (CVD) method to synthesize graphene on Ni foil using ethanol and dimethyl ether (DME). An easy and non-chemical method was developed to transfer synthesized graphene from Ni foil with the assistance of polyvinyl alcohol (PVA). Effective Bubbling transfer method is also used to transfer big size graphene in this work. Raman scattering spectroscopy and scanning electron microscopy (SEM) were used to characterize both synthesized and transferred graphene. The graphene growth mechanism on Ni foil using ethanol CVD was investigated by exploring the effects of substrate temperature, cooling rate, and decomposition conditions of the two carbon sources. We clarified that graphene is synthesized from the decomposition byproducts together with the undecomposed ethanol. The uniqueness of ethanol with abundant dissociative OH- in CVD synthesis of graphene was also discussed for growth mechanism. Gas phase thermal decomposition of ethanol and DME at different temperatures and low pressure was simulated using chemical kinetic models to investigate the effect of carbon source decomposition conditions on the synthesis of graphene by CVD. Comparing the results of ethanol and DME, the appropriate CVD temperature for graphene synthesis from DME is lower than that for ethanol, in agreement with simulation results. Gas-phase thermal decomposition of ethanol and DME was also simulated under typical graphene growth conditions, both of ethanol and DME were decomposed into considerable amount of CH4, C2H4, CH2O, H2 and CO, concentration profiles of reaction species were compared to the predicted decomposition trend and discussed for understanding graphene growth mechanism.
9:00 AM - W7.05
Synthesis of Few-layer Graphene in Hydrogen-free PECVD with Low-temperature and Low Plasma Power
Kaung-Jay Peng 1 Chung-Lun Wu 1 Gong-Ru Lin 1
1Graduate Institute of Photonics and Optoelectronics Taipei Taiwan
Show AbstractPlasma enhanced chemical vapor deposition (PECVD) is a potential candidate to grow large-scale graphene. However it requires high temperature, high radio-frequency power and hydrogen to decompose methane. The mechanism of synthesizing graphene on nickel substrate is attributed to the dissolution of carbon atoms into nickel substrate at high temperature. Afterwards, the carbon dissolved nickel substrate is cooled down to induce the carbon precipitation on nickel surface. From the carbon nickel phase diagram, the nickel starts to absorb carbon and forms solid-solid solution at temperature as low as 550oC. In opposite to previous works, the synthesis of few-layer graphene is performed at this critical phase transition temperature by using a low-power PECVD with a hydrogen-free argon diluted methane recipe. The graphene quality is analyzed by transmission and Raman. The argon diluted methane with a fluence ratio of [CH4]/[Ar]=0.015 was employed in the PECVD system and the chamber vacuum was pumped down to 0.09 torr. With the use of a 50nm-thick nickel coated SiO2 on Si substrate heated up to 550oC, the carbon atoms decomposed at RF plasma power of 100 Watts was deposited on and then absorbed into the nickel host during a processing durations of 10, 100, 300 and 600 sec. Afterwards, the nickel absorbed carbon atoms were segregated from nickel layer and formed the graphene during the cooling process. The near-infrared optical transmittance of the synthesized graphene was increased from 21% to 90.5% when shortening the deposition time from 600 to 100 sec. The layer number of graphene with an absorption coefficient of 6.6×107 cm-1 can be obtained by reverse calculating the simplified transmission formula neglecting the reflectance from few-layer graphene surface. The graphene less than five layers can be obtained during 100-sec deposition in our case. The high-quality mono-layer graphene can be demonstrated by carefully controlling the deposition time ranged between 10 and 100 sec. The broadened D-mode Raman scattering peak at 1350 cm-1 indicates numerous defects existed in the low-temperature synthesized few-layer graphene. The G-mode at 1580 cm-1 caused by the sp2 C-C bond indicates the surface strain of the precipitated grapheme is significant, and the 2D-mode reveals a relatively weak intensity contributed by the second-order two-phonon mode of the disordered graphite sp2 materials. The small D/G band Raman intensity ratio and the narrow G-band linewidth represent good quality of the synthesized few-layer graphene. Lengthening the deposition duration to 10 min inevitably enlarges the ID/IG ratio from 0.2 to 1.8 and broadens the G-band linewidth from 37.2 to 67 cm-1, indicating that more carbon dangling-bonds, Stone Wales defects and multiple vacancies were incorporated in the graphene with increasing layers. No Raman scattering signal was obtained for the sample grown within 10 sec due to the slow carbon decomposition and dissolution processes.
9:00 AM - W7.07
Wafer-scale Synthesis of Graphene by Segregation Method: Decoupling and Tailoring Capabilities by Carbon-source Selection
Caroline Rabot 1 Alexandru Delamoreanu 1 2 Aziz Zenasni 1
1CEA, LETI, MINATEC Campus GRENOBLE France2LTM, UJF-Grenoble1/CNRS/CEA GRENOBLE France
Show AbstractChemical vapour deposition (CVD) has been the most investigated method to grow large-scale (wafer scale) high-quality uniform graphene films. However, the CVD route requires mostly high growth temperature, typically 1000°C and researchers started to look for low temperature growth techniques - low cost and industry-friendly. In that prospect, graphene has been fabricated, recently, via solid-state dissolution of carbon across specific metals [1]. To do so, those metals must be able to store significant amount of carbon (to help the segregation). Consequently, metals like copper, platinum and iridium have been de facto excluded because they can store much less carbon in their bulk volume thus limiting any graphene formation reaction. Indeed, carbon solubility in platinum metal is very low (2.1 10-2 wt% 800°C), which explains why this metal has never been considered for such segregation experiments. Here, we report on the large scale graphene synthesis by segregation method on platinum metal (with surface coverage up to 98 % on 8 inch. wafer) [2, 3]. This result emphasizes an original demonstration that the segregation method is not limited to a restrictive sub-set of metals, but can be enlarged resulting in fast wafer scale graphene synthesis. Moreover, by appropriate choice of the solid carbon source we demonstrate the ability to tailor graphene shape into 1) suspended graphene above its substrate or 2) graphene in full-sheet contact with its substrate. In the first case, by using amorphous silicon carbide (a:SiCH) as source of carbon, an appropriate annealing can result in the suspension of graphene without any transfer or subsequent chemical treatment. This suspension arises via a kinetics of formation and dewetting of platinum silicide clusters. Suspension of graphene is highly desired for application requiring decoupling of graphene. Decoupling graphene from the substrate by fostering the interaction of the carbon source and the metallic substrate opens new perspective in the tailoring of graphene synthesis. This suspension can be suppressed by using a carbon source with less than 20% of silicon (either a:SiCH with Si < 20% or amorphous carbon (a:CH)). In this case, mono to few layer of graphene on full-sheet platinum is obtained (checked by I2D/IG ratio in Raman spectroscopy). In conclusion, we show that graphene could be successfully synthesized by segregation method on large wafer scale onto polycrystalline platinum thin film, thus extending this technique to the metals with very low carbon solubility. This technique can pave the way to new perspectives for graphene synthesis and for tailoring its shape on large wafer scale giving new opportunities for real-life applications. [1] M. Xu et al, ACS Nano. 5, 1522 (2011). [2] A. Zenasni et al, Appl. Phys. Lett. 100, 151907 (2012). [3] Segregation Method for Graphene Synthesis Extended to Metals with Low Carbon Solubility, A. Zenasni et al, Submitted.
9:00 AM - W7.08
Developing Chemistry for the Synthesis of Fluorinated Graphene
Xiguang Gao 1 Xiaowu (Shirley) Tang 1
1University of Waterloo Waterloo Canada
Show AbstractGraphene has become one of the most researched nanomaterials recently. Besides being attracted by the unusual properties of graphene itself, researchers are increasingly interested in graphene derivatives, which offer versatility in physical and chemical properties and promise new applications, ranging from flexible electronics to energy storage. Linking heteroatoms of high electronegativity (such as fluorine, chlorine and iodine) to graphene can drastically alter its chemical reactivity, electrical conductivity, and capacitance. Fluorinated graphene (F-G), in particular, is a highly promising material for the fabrication of thin insulating films for nanoelectronics. Here, we report our progress in developing chemistry for the effective and controlled incorporation of fluorine onto graphene sheets. Using graphene oxide produced by Hummers method as the starting material, F-G will be generated through chemical conversion of the affluent oxygen-contaning groups (mainly hydroxyl, epoxide, and carboxylic) to fluorine. Chemical composition and electrical properties of the resulted F-G will be extensively characterized by spectroscopy methods (e.g. FTIR, scanning transmission x-ray, electron spin resonance, dielectric spectroscopy) and electrical measurements, and the results will be presented. We anticipate that this work will be significant in two aspects. First, the all liquid-phase chemistry involved can ultimately facilitate the mass production and easy formation of large-area F-G thin films. Second, this work will lead to better understanding of the molecular/atomic origins of the electrical properties of graphene derivatives in general.
9:00 AM - W7.09
Synthesis and Applications of Holey Graphenes
Yi Lin 1 Kent A Watson 1 Jae-Woo Kim 1 John W Connell 2
1National Institute of Aerospace Hampton USA2NASA Langley Research Center Hampton USA
Show AbstractWe report a scalable preparation procedure for holey graphenes, a novel type of structure with randomly spaced holes through the layers of graphene. The procedure involves catalytic oxidation of reduced graphene oxide (rGO), resulting in rGO sheets with holes of controllable sizes in the range of a few nm to more than 100 nm. The method is highly scalable with multi-gram quantities of holey graphenes conveniently fabricated in our labs. These holey graphene materials showed interesting physical, electrical, and thermal properties as compared to rGO samples. Results from the applications of the holey graphene materials for both polymer composites and energy storage applications will also be presented.
9:00 AM - W7.10
Shape-controlled Synthesis of Graphene Sheets Based on `Top-downrsquo; and `Bottom-uprsquo; Approach
Jin-Yong Hong 1 Jyongsik Jang 1
1Seoul National University Seoul Republic of Korea
Show AbstractGraphene, typically composed of one-atom-thick layer of carbon in a 2D hexagonal lattice, is a basic building block for graphitic materials of all other dimensionalities. The graphene has attracted tremendous worldwide attention because of their fascinating properties different from those of the carbon-based graphitic materials (e.g., extremely high charge carrier mobility, large specific surface area, thermal/electrical conductivity, and chemical/mechanical stability). Based on these superior characteristics, the graphene has emerged to be a valuable platform for a wide range of applications such as energy-storage materials, electronic device, polymer composites, liquid crystal devices and bio- and chemical sensors. Up to date, various synthetic methods for preparing graphene have been developed. The most straightforward way to fabricating graphene is the exfoliation method to ‘peel-off&’ from graphite. The graphene can be also formed by physical and chemical synthetic techniques, including chemical vapor deposition (CVD) and arc discharge, epitaxial growth, thermal decomposition of SiC, unzipping of carbon nanotubes (CNTs), and so on. However, most previous synthetic methods suffer from the precise control of the size, shape, edge, layer of graphene sheets. Consequently, it is still challenging to produce graphene with tailored morphology and diameters for various applications. In this presentation, two different ways in the synthetic methodology of graphene will be presented in the viewpoint of top-down approach and bottom-up approach. As a ‘top-down approach&’, the graphene sheets with well-defined shape are successfully fabricated using a simple oxidation and exfoliation process of high-crystalline carbon nanofibers (CNFs). Interestingly, the diameter and shape of the graphene sheets can be controlled by selectively designing the morphology of starting materials and optimizing the cutting method. As a ‘bottom-up approach&’, graphene sheets are formed using layer-by-layer (LbL) self-assembly approach with a metallic dopant. The LbL approach is used to form poly(allylamine)(PAA)/ poly(styrenesulfonate)(PSS) multilayer on a quartz substrate. During the carbonization process, the PSS layers can be transformed into graphene sheets due to its inherent aromatic and highly ordered structure. PAA layers served to protect the structural layers as well as prevent the agglomeration of graphene sheets. Most, importantly, these novel approaches can be used as an alternative tool for fabrication of various carbon-based nanomaterials with rational nanostructure design.
9:00 AM - W7.11
Large-scale Synthesis of Graphene via Reduction of Graphene Oxide at Room Temperature Using Metal Nanoparticles as Catalyst
Qiqi Zhuo 1 Jing Gao 1 Yanyun Ma 1 Xuhui Sun 1
1Soochow University Suzhou China
Show AbstractLarge-scale synthesis of graphene of high quality at low cost and simple process is critical to the various applications of graphene. In this paper, we developed a facile chemical approach to the synthesis of graphene via a mild reduction of graphene oxide (GO) using self-reduced metal nanoparticals as a catalyst to the hydrolysis reaction of NaBH4 at room temperature. The morphology and structure of the graphene were characterized with atomic force microscopy and transmission electron microscopy. The reduction process and quality of graphene were followed and examined by UV-Vis absorption spectroscopy, X-ray photoelectron spectroscopy and X-ray diffraction, respectively. Compare to the existing methods, the advantages of this method are that graphene can be prepared in large quantity without using toxic reducing agents such as hydrazine or its derivates, making it environmental benign; the reaction is conducted at mild condition (room temperature), resulting in less defect formation during the process of reducing GO and easy operation; the metal catalyst can be recycled and the method can be easily scaled up. This approach opens up a new way to synthesis high quality graphene under a mild condition at low cost.
9:00 AM - W7.12
Single Step Synthesis of Ag/TiO2/Graphene Ternary Composite and Its Enhanced Photocatalytic Activity
Md. Selim Arif Sher Shah 1 A. Reum Park 1 Kan Zhang 2 Jong Hyeok Park 1 2 Pil J Yoo 1 2
1Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea
Show AbstractBinary composites of TiO2/graphene have been proved to have better photocatalytic activity than the commercial P25 TiO2 photocatalyst. Meanwhile, it is well known that the noble metal nanoparticles, such as Ag or Au show localized surface plasmon resonance (LSPR). Due to LSPR, Ag and Au nanoparticles can have strong and broad absorption in the visible region. Therefore, it is expected that the photocatalytic activity of titania could be further increased by incorporating Ag in the binary composites of TiO2 and graphene. However, recent literature lacks their study. To synthesize such a ternary composite, multistep reaction schemes involving synthesis of TiO2/graphene followed by Ag incorporation were performed. In this work, ternary nanocomposites of Ag/TiO2/graphene were synthesized in a single step by employing solvothermal reaction at 200 °C. Ethylene glycol was used for the reduction of AgNO3, while stoichiometric amount of water hydrolyzed titanium tetraisopropoxide. The synthesized nanocomposites were characterized by a number of microscopic and spectroscopic techniques. X-ray diffraction (XRD) showed that titania is present in both anatase and rutile phases. Notably, UV-visible spectroscopy confirmed a broad and strong absorption band centered at 390 nm due to the LSPR of Ag nanoparticles. The LSPR band proves successful reduction of AgNO3 under the solvothermal reaction conditions. The reduction of Ag+ was also confirmed by X-ray photoelectron spectroscopy (XPS). XPS further proves that in the composite TiO2 was present as Ti4+. The synthesized nanocomposites showed enhanced photocatalytic activity for the degradation of Rhodamine B (RhB) under visible light irradiation. Over 95% of RhB was degraded by the catalyst after 60 minute exposure of visible light. The rate constant of photocatalytic degradation of the catalyst showed an increase with an order of magnitude greater than that of commercial P25 TiO2 photocatalyst. Overall, this work suggests a versatile visible light photocatalyst, synergistically reinforced by the LSPR effect of Ag nanoparticles.
9:00 AM - W7.13
Diazonium Salt Modified Graphene for Electronics and a Starting Point for Organic Synthesis
Fabian Moritz Koehler 1 Christoph Martin Schumacher 1 Wendelin Jan Stark 1
1ETH Zurich Zurich Switzerland
Show AbstractOrganic chemistry has excelled at the formation of stable carbon-carbon bonds. A colorful variety of reactions create bonds in high yield, selectivity and often stereo specific. What happens, if we transfer such knowledge to carbon allotropes? Can we make organic synthesis on very large, two-dimensional planes? Industrially important products like black ink already consist of chemically modified carbon particles. And carbon black was just the beginning of a most remarkable successful story when in the last decades a plethora of carbon forms have become functionalized with small organic molecules by carbon-carbon bond forming reactions. Here, we present graphene functionalized with aryl diazonium ions and show the chemical changes by Raman spectroscopy(1), the surface changes by atomic force microscopy and kelvin probe measurements(2). In addition the electron transport is measured with increasing functionalization density showing that high doping levels can be achieved by the diazonium reaction(3,4). This primarily attached functional group opens the way to organic synthesis on functionalized graphene allowing the incorporation of biomolecules, polymers and catalysts.
9:00 AM - W7.14
Synthesis, Characterization and Electron Transport of High Quality Graphene Nanoribbons
Xinran Wang 1 Liying Jiao 2 Liming Xie 2 Yijian Ouyang 3 Jing Guo 3 Hongjie Dai 1
1Nanjing University Nanjing China2Stanford University Stanford USA3University of Florida Gainesville USA
Show AbstractGraphene nanoribbons (GNRs) with well-defined edges are predicted to exhibit interesting electronic and spintronic properties such as quantum-confined bandgaps and magnetic edge states for novel device applications. GNRs made by lithographic etching process have defects and dangling bonds along the edges, and their electron transport shows defect-dominated characteristics at low temperature. We show that pristine 1-3-layer GNRs can be produced by unzipping mildly gas-phase oxidized multi-walled carbon nanotubes using mechanical sonication in an organic solvent [1]. The nanoribbons are of very high quality as revealed by aberration-corrected transmission electron microscopy, Raman spectroscopy and electrical measurements [2]. The fabricated GNR devices have mobility up to ~3000cm2/Vs at room temperature. At low temperatures, they exhibited well-defined quantum transport phenomena, including Coulomb blockade, the Kondo effect, clear excited states up to sim;20 meV. Together with the signatures of intrinsic quantum-confined bandgaps and high conductivities, our data indicate that the nanoribbons behave as clean quantum wires at low temperatures, and are not dominated by defects [3]. Reference: [1] Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang and Hongjie Dai, “Facile synthesis of high quality graphene nanoribbons”, Nature Nanotechnology 5, 321 (2010). [2] Liming Xie, Hailiang Wang, Chuanhong Jin, Xinran Wang, Liying Jiao, Kazu Suenaga, and Hongjie Dai, "Graphene Nanoribbons from Unzipped Carbon Nanotubes: Atomic Structures, Raman Spectroscopy, and Electrical Properties", J. Am. Chem. Soc. 133, 10394 (2011). [3] Xinran Wang, Yijian Ouyang, Liying Jiao, Hailiang Wang, Liming Xie, Justin Wu, Jing Guo and Hongjie Dai, “Graphene nanoribbons with smooth edges behave as quantum wires”. Nature Nanotechnology, 6, 563 (2011).
9:00 AM - W7.15
Selective Growth of Graphene by Using Polymer Film with UV Irradiation
Beomjin Park 1 Jae-Sung Park 2 3 Gumhye Jeon 1 Dagam Lee 1 Kwang S. Kim 2 Byung Hee Hong 3 Jin Kon Kim 1
1POSTECH Pohang Republic of Korea2POSTECH Pohang Republic of Korea3Seoul National University Seoul Republic of Korea
Show AbstractThe patterning of graphene has received a great attention, because it is essential to be utilized practically. However, when a top-down method is employed, the excellent property of graphene is lost due to the disordered structure in the edge. Here, we introduce a direct patterned growth of graphene on poly(styrene) (PS) film via UV irradiation. Because PS is crosslinked by UV-irradiation, a selective cross-linked region is prepared on the PS film/copper foil. When the sample is heated a high temperature under 1 Torr of Ar/H2 atmosphere, only non-crosslinked regions are converted into graphene, while cross-linked regions becomes amorphous carbon. The patterned grapheme is characterized by Raman spectroscopy, scanning electron microscopy (SEM), and X-ray photoelectron spectroscopy (XPS).
9:00 AM - W7.16
Gas Transport Control in Graphene Growth by Chemical Vapor Deposition on Copper Foil
Seong-Yong Cho 1 Ki-Ju Kim 1 Hong Hee Lee 2 Ki-Bum Kim 1 3
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3Seoul National University Seoul Republic of Korea
Show AbstractGraphene has attracted a great interest for its outstanding electrical, optical, magnetic and thermal properties since the first discovery. Chemical vapor deposition (CVD) method offers certain advantages over other ways due to its large-scale growth and reliable and compatible synthesis. Graphene can be grown by surface diffusion of carbon atoms which thermally dissociated by hydrocarbon source, but polycrystalline nature which originated from random nucleation is major bottleneck for an industrial application of graphene. Despite of numerous approaches based on controlling empirical parameters in graphene growth, typical domain size in chemical vapor deposited graphene is tens of micrometers. Also, fundamental understanding on growth mechanism is lacking and suppressing nucleation density at the very early stage of its growth is still needed. Thus, key issue in nucleation and growth of CVD graphene is that we should understand mean field for carbon diffusion which governs average domain size of graphene and find a way to increase it. Hydrocarbon source feeding control and understanding gas kinetics are highly required for nuclei density control, growth rate, and even for growth morphology evolution since lobed grains induce larger grain boundaries after coalescence. In this presentation, an effective way to decrease nucleation density in graphene growth and supersaturation ratio will be discussed. We used simple jig for better understanding of gas transport by mass transfer and diffusion through boundary layer in CVD system. We compared graphene grown in small jig gap and outer of jig in order to understand gas transport. Time and temperature dependent growth behavior will also be discussed.
9:00 AM - W7.17
A Density-functional Theory Study for the Nucleation and Growth of Graphene on SiC(0001)
Christian Ratsch 1
1UCLA Los Angeles USA
Show AbstractGraphene is a very promising material for many microelectronic applications because of its unique electronic properties. Among the several proposed routes to fabricate layers of graphene, the growth of epitaxial graphene on 4H and 6H SiC(0001) appears to be particularly promising. In this talk, results from density-functional theory calculations will be presented for the potential energy surfaces and different diffusion rates of C atoms on the different polytype surfaces on 6H SiC(0001). We will then look at the early stages of growth of graphene on top of a carbon buffer layer on SiC(0001). Our results suggest that small clusters of carbon consisting of one, two, or three carbon rings are stable but prefer to be in an upright position, rather than flat on the surface. Only after the nucleus grows to 4 or more carbon rings does the lowest energy configuration become a graphene-like arrangement, where all atoms are flat on the surface.
9:00 AM - W7.18
Anomalous Raman Behavior of CVD Grown Graphene under Strain
Mark Alexander Bissett 1 Wataru Izumida 2 Riichiro Saito 2 Hiroki Ago 1
1Kyushu University Kasuga Japan2Tohoku University Sendai Japan
Show AbstractThe effects of applied external strain on the mechanical and electronic properties of graphene are of great interest for many future applications, such as highly sensitive strain sensors and electronic devices. Previous studies have shown that by applying strain to graphene the electronic structure can be altered and this can best be detected by Raman spectroscopy. However, previous studies on the effect of strain on graphene have focused primarily on exfoliated graphene, which consists of large domains, whilst future applications of graphene will more likely use graphene produced by chemical vapor deposition (CVD). CVD grown graphene, however, consists of many smaller domains and these domain boundaries are believed to affect how the strain alters the electronic structure of graphene. In this work we grow single-layer graphene by CVD on copper foil and transfer it onto flexible polymer (polydimethylsiloxane, PDMS and poly(methyl methacrylate), PMMA) substrates that allow us to apply strain and measure the resulting changes to the Raman spectrum. Unlike previous work, the use of CVD synthesized graphene demonstrates anomalous behavior regarding the shifting of the Raman peak positions when strain is applied and this is a combination of the doping affect from the contact with the substrate combined with the domain structure of the graphene. The causes of this strain behavior are also analyzed using theoretical modeling of the electronic structure, including phonon dispersion and shifting of the Dirac points. It was found that using a highly flexible polymer such as PDMS provided a highly biaxial stress resulting from applied uniaxial strain due to the high Poisson&’s ratio (asymp;0.5), whilst previous literature has focused on the use of more rigid polymers such as poly(methyl methacrylate) (PMMA) and the difference between the two is compared here. These results provide an important insight into the fundamental properties of graphene in real-world scenarios, and are of importance in future applications such as flexible electronic devices.
9:00 AM - W7.19
XPS Analysis of Few Layer Graphene Films Grown on Cu-Ni and SiC Substrates
Parul Tyagi 1 Zachary R. Robinson 1 Joseph Abel 1 Shanshan Chen 2 Andrew Munson 2 Yufeng Hao 2 Carl W. Magnuson 2 Rodney S. Ruoff 2 Carl A Ventrice 1
1University at Albany-SUNY Albany USA2University of Texas Austin USA
Show AbstractOne of the primary reasons for the current interest in graphene is because of its unique electrical properties. For instance, carrier mobilities as high as 200,000 cm2/Vs have been measured for exfoliated graphene flakes. The electronic structure of graphene depends on the number of graphene layers and the stacking sequence between the layers. For instance, single-layer graphene is a semi-metal with a linear energy dispersion near the Dirac point, which results in a very small effective mass for the carriers. On the other hand, a band gap can be induced in Bernal stacked bi-layer graphene. For device applications it is crucial to maintain a low defect density and a uniform graphene thickness. A common technique used to fabricate large area graphene samples is by thermal decomposition of SiC in vacuum. One of the main advantages of this growth technique is that the graphene is formed directly on an insulating substrate, which is desirable for most device applications. The primary drawback is the high cost of the SiC substrate. Cu foils are low cost substrates for growing large area graphene films. Owing to the low solubility of C in Cu, these films typically self-terminate at monolayer coverage. However, the carrier mobility of these films is typically much lower than for films exfoliated from graphite. This is primarily from line defects that result from rotational domains in the graphene film. Another approach to forming uniform graphene films is to use Cu-Ni substrates. Since Ni is more catalytically active than Cu, graphene growth can proceed at lower temperatures and source pressures. In addition, the C solubility of the alloy can be adjusted by increasing the Ni alloy fraction, allowing the formation of graphene films with more than one layer. We have developed a technique using angle-resolved XPS to determine the average graphene thickness directly on metal foil and SiC substrates. Since monolayer graphene films can be grown on Cu substrates, these samples are used as a standard reference for a single layer of graphene. HOPG is used as a standard reference for bulk graphite. The electron mean free path of the C-1s photoelectron can be determined by analyzing the areas under the C-1s peaks of monolayer graphene/Cu and bulk graphite. With the measured electron mean free path, the graphene coverage of a film of arbitrary thickness can be determined by analyzing the area under the C-1s of that sample. Analysis of graphene coverages for graphene films grown on Cu-Ni substrates and of the thickness of both the graphene overlayer and intermediate buffer layer on SiC will be presented. In addition, Raman spectroscopy measurements of the graphene films were made and correlate well with the coverages determined by angle-resolved XPS. This research was supported in part by the NSF (#1006350/1006411).
9:00 AM - W7.20
Dry Transfer of Chemical-vapor-deposition-grown Graphene for Tunnel Junction Applications
Ying Feng 1 Ke Chen 1
1Temple University Philadelphia USA
Show AbstractChemical vapor deposition (CVD) using Cu foil as the catalyst and substrate is a prevailing technology to grow large-area single-layer graphene films with virtually no size limit. In most applications, CVD-grown graphene is transferred onto substrates or devices via wet processes, where Cu etchant, deionized water, and other solvants are used to etch away the Cu foil and remove the polymer film that carries graphene during the transfer. However, this method is not suitable to transfer graphene onto liquid-sensitive substrates, such as MgO, or devices, such as tunnel junctions. We have developed a transfer method to first transfer the CVD-grown graphene using the traditional wet method onto a free-standing 4mu;m-thick Cu film, then transfer onto any substrate by a liquid-free process using PDMS. The Cu film was deposited on a Si wafer by DC magnetron sputtering and then exfoliated from the wafer. The integrity of the graphene film was largely preserved during the second transfer by PDMS owing to the smooth surface of the Cu film. Using this method, single-layer graphene films were transferred onto SiO2/Si, MgO, and Al2O3 substrates, showing good-quality in optical, scanning electron microscopy (SEM), and atomic force microscopy (AFM) images. Raman spectroscopy indicates that the transferred graphene film has single layer and has similar quality as a graphene film transferred by the tranditional wet method. Transport measurements of dry-transferred graphene films on Si/SiO2 substrate at room temprature show that their sheet resistance is 1.6~3.4 kOmega;/sq, charge carrier density is 4.1~5.3×1012 /cm2, and mobility is 460~760 cm2/Vs without doping, which are similar to the resuls of traditional wet-tranferred single-layer graphene. Single-layer graphene films were also transferred onto Al/Al2O3 strips by this dry-method to form graphene/AlOx/Al tunnel junctions, which exhibit good tunneling current-voltage characteristics at both 300 K and 4.2 K. This novel dry-transfer method can be applied to fabricating other electronic devices that prefer a liquid-free process.
9:00 AM - W7.21
Direct Graphene Formation on Dielectric Substrate Using Carbon Diffusion Barrier
Ki-Ju Kim 1 Seong-Yong Cho 1 Hyun-Mi Kim 1 Hong Hee Lee 3 Ki-Bum Kim 1 2
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3Seoul National University Seoul Republic of Korea
Show AbstractSegregation method to synthesize graphene has been suggested and proposed in lots of metal and metal alloy system. Ni which has maximum 2.7 at% of carbon solubility is used as synthesis of graphene method by CVD and recrystallization method of a-C by annealing. However, large solubility of Ni compared with Cu, which produce 95% single layer graphene, causes few-layered graphene formation. Ni-Cu alloy system is then proposed to control resultant graphene layer thickness by controlling diffusion kinetic of Ni-Cu atoms and carbon atom. In recent study, by applying pressure and heat on carbon powder coated Ni film, graphene formed among Ni and dielectric substrate interface, which has no transfer process required. Here, we propose formation of graphene on dielectric substrate directly by different method, using diffusion barrier. When a-C deposited Ni is annealed, graphene formation is expected on surface in enough elevated temperature. However, depositing other material on surface which make carbide phase can prohibit diffusing out of carbon atom rather diffusing out in interface and prompt graphene formation in interface of Ni and substrate. By this method, after etching Ni and surface deposited material, direct graphene formation on target substrate can be achievable.
9:00 AM - W7.22
Controlled Multilayered Graphene Growth by CVD on Ni(111) and Polycrystalline Ni at Atmospheric Pressure
Amirfarshad Mashal 1 Nathaniel Safron 1 Michael S. Arnold 1
1University of Wisconsin-Madison Madison USA
Show AbstractUnderstanding the growth of multilayered graphene via chemical vapor deposition (CVD) is vital for optimizing these materials for future applications. Multilayered graphene has applicability ranging from heat dissipation to energy storage to transparent conduction. Here, we present on controlling the uniformity of multilayered graphene using Ni(111) versus polycrystalline Ni films. In order to obtain Ni(111), we used direct current (DC) sputtering on an Al2O3(111) substrate, verifying the crystallinity of the Ni(111) using x-ray diffraction (XRD). To synthesize the polycrystalline Ni, we e-beam evaporated Ni onto Si/SiO2(90 nm) substrates. We grew multilayered graphene on both the polycrystalline Ni and Ni(111) films via atmospheric pressure CVD using a CH4 precursor, systemically varying temperature, CH4 dose, and film annealing time, and optimized our cooling rate. We found that temperature and CH4 dosage primarily controlled the thickness of our multilayered graphene whereas, the film annealing time and cooling rate predominantly controlled the quality and uniformity of multilayered graphene grown. We characterized the thickness and quality of the resulting multilayered graphene films via optical microscopy and scanning electron microscopy (SEM). Furthermore, we used Raman spectroscopy to verify the presence and quality of the grown multilayered graphene. Based on qualitative optical and scanning electron microscopy, we saw that the thickness-uniformity can be substantially improved on the Ni(111) compared with the polycrystalline Ni films. Additionally, we are continuing to elucidate the dependence of the thickness and uniformity of the multilayered graphene on substrate thickness and growth time. These findings represent an important step towards the fabrication of practical, large-scale, high quality multilayered graphene.
9:00 AM - W7.23
Graphene Growth by Rapid Thermal Annealing of Metal Foils
Daniel Quinn McNerny 1 Fabrice Roger Laye 1 Davor Copic 1 Anastasios John Hart 1
1University of Michigan Ann Arbor USA
Show AbstractDespite countless studies of graphene growth on various substrates, improved understanding of the growth kinetics is necessary to manufacturing large-area graphene with high quality and a well-defined number of layers. Here we report growth of graphene using a cold-wall CVD system, which features rapid thermal control of the substrate (100 C/s) by resistive heating, along with in situ Raman spectroscopy. After a 10 second ramp to the desired temperature, graphene is observed for growth steps as short as 5 seconds using an acetylene carbon source. By incorporating Raman spectroscopy, we study the effects of heating and cooling rates, gas composition, and growth duration on the number of layers and quality of graphene while offering insight on the mechanism of growth. The capability to rapidly form graphene by local heating will be instrumental to continuous feed CVD methods.
9:00 AM - W7.24
Control of Graphene Nucleation through the Decoration of Metal Step Edge
Yun Sung Woo 1 David Seo 1 Jinseong Heo 1 Hyun Jae Song 1 Hyun-Jong Chung 1 Hyeon-Jin Shin 1 Dongwook Lee 1 Kyung-Eun Byun 1 Jaeho Lee 1 Seongjun Park 1
1Samsung Advanced Institute of Technology Yong-in Si Republic of Korea
Show AbstractFor electronic applications, it is desired to grow graphene film with large grain sizes (high quality), monolayer, and wafer scale (large area). Large area and high quality graphene films were typically grown on metal catalysts through chemical vapor deposition (CVD) of hydrocarbon gases. Copper has been the most popular metal catalyst for wafer scale and single layer growth since low carbon solubility of copper tends to prevent to grow the second graphene layer underneath of or above the first layer. However, copper only catalyst is not a perfect solution for monolayer and high quality graphene films. Double layers were observed in small areas. Also, copper only catalyst might have the limit to control the grain sizes. Although grain size of graphene film was successfully enlarged by increase of the growing temperature and decrease of the feeding amount of hydrocarbon gas, it is still very difficult to control the grain size and position without controlling the catalyst. In this work, we studied the role of metal solute in metal catalysts to control the number of layers and the size of grains. As already known in catalyst research, the low coordinated atoms at the step edge sites are very reactive and governs the whole catalytic reactivity. Thus, blocking the step by intentionally poisoning with non-reactive atoms such as Au could be a way of controlling the graphene nucleation and carbon dissociation rate. We studied two different metal solutes in copper catalyst, Ni and Au, and compared with Cu only catalyst. Ni atoms at the step edge would serve as more reactive sites for graphene nucleation while, Au atoms would block the step edge of Cu. In our results, both of CuNi and CuAu alloy catalyst provide a single layer of graphene film, but nucleation behavior was significantly different and we believe this behavior is due to the reactivity difference between Ni and Au as we expected. We also fabricated graphene FET device using the graphene film grown from CuNi and CuAu alloy and measured the electron transport properties. We will discuss the difference between those two alloys during the presentations. Based on the results, we concluded that the quality graphene film can be controlled by the catalyst design.
9:00 AM - W7.25
Evolution of Graphene Growth on Sapphire (0001) and (11-20) Surfaces by Self-catalytic Chemical Vapor Deposition
Kosuke Saito 1 Yusuke Iida 1 Kenji Yamazaki 1 Toshio Ogino 1
1Yokohama National University Yokohama Japan
Show AbstractGraphene is a 2-dimensional network of sp2-bonded carbon atoms and expected to be a material for the next generation of electronic devices. Formation methods of graphene films are roughly divided into mechanical exfoliation, chemical vapor deposition (CVD), and epitaxial growth on SiC. Among them, a wafer scale graphene film can be grown on a catalytic metal surface by CVD method. Recently, direct growth of graphene on insulating surfaces has also been realized [1]. However, a major drawback of this process is the high growth temperature, which is a serious problem in device applications. Our goal is direct synthesis of graphene films on insulating substrates at lower temperatures by CVD. We used sapphire (0001), (11-20) and (1-102) planes as substrates, CH4 as a carbon source, and Ar and H2 as carrier gases. Graphene films were grown at 1000°C at atmospheric pressure for 15~120 min. After the CVD, we observed their surface morphology by Atomic Force Microscopy (AFM) and characterized their properties by Raman spectroscopy. We used sapphire (0001) surfaces on which two kinds of domains formed: the domain A is a wide terrace region and the domain B single-stepped regions. We found that the topography and frictional force differ between the domains A and B after the growth for 120 min. Wrinkles, which are formed at the boundaries between independently grown graphene domains, were observed in the domain B, whereas no wrinkle appeared in the domain A. Frictional force on the domain B was smaller than that on the domain A. These results indicate that graphene films grew only in the domain B, suggesting that a self-catalytic property is generated in the domain B for direct growth of graphene. We observed time evolution of the surface morphology during the CVD growth. In the early stage from 5 to 15 min, a high density of pits appeared in the domain B. The pit size was smaller than 0.1mu;m. After growth for 30 min, the pit size was from 0.1mu;m to 0.2mu;m. After 45 min, the pit size was almost unchanged. After 60 min, wrinkles appeared in the domain B, and their density increased with time up to 120 min. We also measured growth time dependence of the Raman spectra. As the growth time increases, the D peak decreases. This suggests that the quality of the graphene films was improved and their domain enlarged with an increase in the growth time. We also used sapphire (11-20) and (1-102) surfaces and found that many hydrophobic carbon domains grew on the (11-20) surface. This sample exhibits a D peak intensity smaller than that of the (0001) or (1-102) surface. From these results, we can conclude that direct synthesis of graphene on sapphire surfaces has been realized through formation of self-catalytic surfaces in the early stage of growth. [1] M. Fanton et al., ACSNANO 5 (2011) 8062-8069.
9:00 AM - W7.26
High Quality Graphene Growth on Large Ultrathin Cu (111) Single Crystal Grains by Chemical Vapor Deposition
Kwonjae Yoo 1 Il-Suk Kang 1 Young-Hyun Shin 1 So-Yeong Jeong 1 Chi Won Ahn 1
1NNFC, KAIST Daejeon Republic of Korea
Show AbstractPractical electronic device applications of two dimensional graphene highly depend on its quality and mass productions. Recent progress of its mass production method on the Cu or Ni foils shows that the quality graphene of large area is up to near the industrial applications such as transparent electrodes. Nonetheless, there are still many obstacles in case of electronic device applications. Pinholes, folding, and cutting of graphene are frequently appeared during the transfer processing to a substrate. Transfer method also is not suitable for conventional device fabrication scheme. Here we present the direct graphene wafer production with high quality, which is suitable for mass and easy electronic device production. Aligned patterned growth on a single crystal (111) plane of Cu grain with each size of about 10 micrometers is demonstrated. High-quality graphenes on transformed polycrystalline Cu (111) grains on a wafer were produced by chemical vapor deposition method with assisted Cu vapor catalysts. Deposited thin Cu film turned into large (111) orientation grains with average lateral size of 10~40 mu;m at high temperature. It is due to easy coordination of (111) planes at low dimension film. Growth is done by using the specially built thin Cu chamber that can hold 100 mm wafer. This method suppresses the evaporation of Cu layers on a wafer during the high temperature growth of high quality graphene which generally is needed for. Growth temperature can be elevated up to 950~1010 Celsius degree with maximum growth time of about 50 minutes depending on Cu film thickness. We probe graphene qualities using a partial etching method for optical visualization, scanning electron microscopy, atomic force microscopy and Raman spectroscopy. The results showed that graphene consists of monolayers with high quality. In addition, Cu domains are enlarged more than ~30 micrometers in lateral size with mostly (111) crystal orientations, which manifest a critical condition of high-quality graphene growth [1]. It turned out that the quality of graphene grown on wafer scale Cu films is comparable with that of Cu foils and exfoliated one. Top gated FETs on a graphene wafer directly without graphene transfer show good device characteristics [2]. *[email protected] References 1.Li Tao, Jongho Lee, Harry Chou, Milo Holt, Rodney S. Ruoff, and Deji Akinwande, ACS Nano, 6, 2319 (2012). 2.Mark P. Levendorf, Carlos S. Ruiz-Vargas, Shivank Garg, and Jiwoong Park, Nano Letters, 9, 4479 (2009).
9:00 AM - W7.27
Understanding and Controlling the Growth Dynamics of Graphene on Epitaxially-oriented Copper Thin Films
Robert Jacobberger 1 Michael S. Arnold 1
1University of Wisconsin-Madison Madison USA
Show AbstractChemical vapor deposition (CVD) offers an inexpensive, scalable route to produce large-area graphene. Until recently, most studies of graphene growth have been conducted on rough, polycrystalline metal foils. However, due to the crystallographic complexity of these surfaces, growth on polycrystalline substrates is difficult to understand and results in graphene with rotational grain boundaries and wrinkles that limit charge carrier and phonon transport. Here, we present a systematic study of the growth dynamics of graphene on copper (100), (110), and (111) thin films sputtered epitaxially onto sapphire and magnesium oxide substrates. These ultra-smooth, epitaxially-oriented thin films are better platforms for reproducible, high-quality graphene growth than their foil counterparts. We have explored growth on these copper films over a wide range of pressures (0.5 mTorr - 760 Torr) and temperatures (850 - 1050°C). We show that on these copper substrates, growth can be driven in either a planar or dendritic regime with controllable morphology. In the dendritic regime, the dendrites preferentially grow along the <100>, <111>, and <110> directions on copper (100), (110), and (111) surfaces, respectively, and this directionality is enhanced with increasing temperature. Furthermore, we illustrate that the lobed morphology observed in many low-pressure growths on copper foils transitions into dendritic growth if the inter-nucleation distance is sufficiently large. Both growth modes can be tuned to yield large-area, monolayer graphene. Raman spectroscopy of the graphene grown on all three substrates reveals 2D:G ratio ~ 4.0 and low D:G ratio after being transferred to silicon dioxide substrates. The graphene has optical transmittance between 96 and 98% over wavelengths of 400 to 1600 nm. Overall, the growth evolution studies presented here offer the possibility of controlling graphene crystal orientation, morphology, nucleation density, and anisotropic growth rate. In addition, this work provides a more rationally designed route to synthesize high-quality and high-performance graphene materials.
9:00 AM - W7.28
Graphene Growth on SiC Nanofacet Surfaces by Chemical Vapor Deposition
Yoshihito Hagihara 1 Takashi Kajiwara 1 Satoru Tanaka 1
1Kyushu University Fukuoka City Japan
Show AbstractGraphene nanostructures such as nanoribbons and periodic rippled structures are expected to show unique electronic properties [1, 2]. Thermal decomposition of SiC is popular and importantly gives epitaxial graphene via the formation of the (6radic;3×6radic;3) buffer layer. However, the potential problems in this technique reside in collapse of surface morphologies and spatial thickness variation during growth. The former is a significant issue in fabricating nanostructures. We found periodic nanofacet formation after H2 gas etching of vicinal SiC surfaces [3,4]. This surface is useful as a template to achieve graphene nanostructures if the potential problems mentioned above are solved[5]. Chemical vapor deposition (CVD) is an alternative technique to grow epitaxial graphene and expected to avoid surface evolution.[6,7] A vicinal 6H-SiC(0001) surface was first etched by H2 gas and resulted in periodic nanofacets. CVD growth was performed using the C2H4/Ar gas mixture at 1200°C. AFM image analysis and Raman spectroscopy indicates monolayer graphene growth and its thickness of ~0.51nm, which may include the interface layer. A low-energy electron diffraction (LEED), however, shows a ring pattern due to randomly rotated domains and disordered (diffuse) (radic;3×radic;3)R30° spots. The radic;3 structure is probably originated in the SiO-related interface and affects crystallographic nature of graphene by CVD. Attempt to remove such interface structure was done by HF solution before growth, resulting in similar domain structures but interestingly no radic;3 interface structure was identified by LEED. We believe hydrogen termination of SiC surface takes place in this case and gives random nucleation of graphene. Conclusively, the interface structure is a key to realize single domain structures and further periodic graphene nanostructure. By excluding hydrogen termination on SiC surfaces single domain graphene is achievable. References [1] Y. H.Melinda et al., Phys. Rev. Lett. 98, 206805 (2007). [2] C. H. Park et al., Nature Physics 4, 213-217 (2008). [3] H. Nakagawa et al., Phys. Rev. Lett. 91, 226107 (2003). [4]M. Fujii and et al., Phys. Rev. Lett. 99, 016102(2007). [5] S. Tanaka et al., Phys. Rev. B81, 041406(R) (2010). [6] W. Strupinski et al., Nano Lett., 2011, 11 (4), pp 1786-1791 [7] A. Michon et al., Appl. Phys. Lett. 97, 171909 (2010)
9:00 AM - W7.29
Synthesis of Graphene via a Solid State Microwave Process in Air: A Fast and Dynamic Growth Control with Low Energy Consumption
Wen-Chun Yen 1 Hung-Chiao Lin 1 Yu-Ze Chen 1 Yu-Lun Chueh 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractGraphene, since its fascinating electrical properties have been well revealed, became one of the most attractive research topics in modern science. Its unique structure, two-dimensional honeycomb carbon lattice, enables it to have high chemical stability, mechanical strength, and low resistivity. This material is thus potentially expected to replace the silicon in electrical applications and arouses a great quantity of studies on the synthetical method of graphene to requisitely develop a large area with high quality growth with low cost for industrial manufactory. In this regard, we would like to propose a new method to synthesize graphene via Ni catalysed which takes advantages from the above mentioned techniques, the solid-state carbon source for effectively providing the feedstock, the microwave heating apparatus for fast synthesis, and the native protection of the carbon-doped Ni surface for reacting in the air environment and self-repairing. The pyrogenesis is from a rapid conversion of thermal power energy from the microwave via a SiC susceptor. By controlling input powers of the microwave, the annealing temperatures could be controlled, leading to a dissolving of the carbon atoms into Ni catalyzed layer. The carbon atoms in the Ni layer would play an important role to retard the formation of the NiO on the surface via a redox process and the graphene layers will be segregated during cooling. The produced graphene could be pre-patterned and the entire growth is in minutes in the atmosphere. The low equipment criteria and rapidity could allow this method to be promising for different application demands.
9:00 AM - W7.30
One-pot Synthesis of Graphene-ZnS Nanocomposites with Tween 20 as Growth Template
Zonghua Wang 1 Jianfei Xia 1 Feifei Zhang 1 Lishun Bi 1 Yanzhi Xia 1 Yanhui Li 1
1Qindao University Qingdao China
Show AbstractOne-pot Synthesis of Graphene-ZnS Nanocomposites with Tween 20 as Growth Template Zonghua Wang*, Jianfei Xia, Feifei Zhang, Lishun Bi, Yanzhi Xia*, Yanhui Li Laboratory of Fiber Materials and Modern Textile, the Growing Base for State Key Laboratory, College of Chemical and Environment Engineering, Qingdao University, Shandong, 266071, PR China Corresponding author: [email protected], [email protected]. Abstract: Graphene is a novel carbon nanomaterial, a flat monolayer of hexagonally arrayed sp2-bonded carbon atoms tightly packed into a two-dimensional (2D) nanosheets, has attracted much attention due to its excellent properties. Since its discovery in 2004, graphene has displayed as a fascinating material for a wide variety of potential applications in large range transistor fabrication, photovoltaics, catalyst supports, lion batteries, chemical sensors and drug delivery. To harness these properties for application, graphene has been utilized as matrix to prepare a wide range of composite materials. Zinc sulfide is an important semiconductor with bandage energy and has been studied extensively because of their wide applications in the fields of sensors, lasers, solar cells and light-emitting devices for many years. Graphene-ZnS (G-ZnS) not only can combine these advantages of graphene and ZnS but also may result in new properties. However, the surface of the semiconductor nanoparticles is highly defective, which directly influences the photocurrent generated by the nanoparticles. To solve the problem, it is essential to retard the recombination of electron-hole species in the semiconductors by molecular electron-relay semiconductor structures or electron-transport matrices. In this study, based on providing G-ZnS nanocomposite, in which more uniform and samller size of ZnS nanoparticles covered on graphene surface, we report an effective means on preparation of G-ZnS using Tween 20 as dispersing and stabilizing agent as well as growth template in the nucleation process of ZnS nanoparticles, GO as starting material, TAA as a homogeneous precipitation agent, via one-pot, an easy hydrothermal homogeneous precipitation method. Tween 20 constitutes with one hydrophobic aliphatic chain and three hydrophilic aliphatic chains with terminal hydroxyl groups. In the water-Tween 20 solution, Tween 20 boost the dispersion and stabilization of GO and ZnS, as well as control the nucleation process of ZnS nanoparticles in situ synthesis on GO surface. Compared with the kindred investigate, ZnS nanoparticles synthesized in this report have uniform smaller size and coated graphene nanosheets evenly. Acknowledgements. This work was financially supported by the National Natural Science Fundation of China (20975056 and 81102411); Shandong (ZR2011BZ004 and ZR2011BQ005); JSPS -NSFC Program (21111140014); and Taishan Scholar Program of Shandong Province (TS20070711); and the 973 special preliminary study plan (Grant no.: 2012CB722705).
9:00 AM - W7.31
Direct Synthesis of Graphene on Hexagonal Boron Nitride Multilayers
Tanesh Bansal 1 Chris Durcan 1 Nikhil Jain 1 Yang Xu 2 Bin Yu 1
1State University of New York Albany USA2Zhejiang University Hangzhou China
Show AbstractHexagonal boron nitride (h-BN) has emerged as a promising substrate for graphene electronic-devices. h-BN crystals are atomically thin (free from dangling bonds), contain low amount of charged impurities or surface charge traps and show small (~1.7%) lattice mismatch with graphene (reducing stress in graphene or ripple formation). Transferred CVD-grown graphene on h-BN has shown higher mobilities as compared with that on SiO2. However, the transfer process is either too complicated to apply for large scale transfer or ends up with contamination, wrinkling or breakage of graphene sheet. Direct growth of graphene on dielectric substrates provides the best solution. Here we present a two-step chemical-vapor-deposition (CVD) to grow graphene directly on h-BN thin layers. Raman spectra provide significant sharp 2D peak along with sharp D peak suggesting the growth of crystalline graphene islands. Atomic force microscopy confirms monolayer graphene islands. Study of variations in growth parameter suggests that atmospheric-pressure growth results in more nucleation sites with both thin lateral growth and thick vertical growth, whereas low-pressure growth favors lateral growth.
9:00 AM - W7.33
Synthesis of Graphene Sheet with High Solubility
Sun Sook Lee 1 Yong-Sook Shin 1 Young-Taek Kwon 1 Min-Seo Kang 1 Ill Young Lee 1 Ki-Seok An 1
1Korea Research Institute of Chemical Technology Daejeon Democratic People's Republic of Korea
Show AbstractWe have fabricated high soluble and high density-graphene ink by using chemical reaction of graphene oxide nanosheets. The reaction of graphene oxide nanosheet with amine-groups of organic compounds containing benzene-rings simultaneously induces the reduction of graphene oxide. The functionalized graphene nanosheets show a long-term stability in organic solvents and the organic compounds reacted with graphene nanosheets is easily desorbed at relatively low temperature. The graphene ink is well applied to ink-jet printing process with good dispersibility.
9:00 AM - W7.36
Magnetoelectric Effect in Functionalized Few-layer Graphene
Elton J. G. Santos 1 2 Efthimios Kaxiras 1 2
1Harvard University Cambridge USA2Harvard University Cambridge USA
Show AbstractWe predict that the magnetic moment induced by chemisorbed H atoms or any chemisorbed molecule via a single C-C bond on the top layer of a few-layer graphene system is tunable by an external electric field. Through first-principles electronic structure calculations, we show that this magnetoelectric effect is negligible in one-layer graphene, but becomes pronounced in bi-layer and tri-layer graphene, saturating in magnitude in quadri-layer graphene. The effect is due to shifting of the Dirac cone of the pure graphene layers relative to the bands of the hydrogenated layer, induced by the external field. The calculated magnetoelectric coefficient has values comparable to those found for ferromagnetic films or perovskites interfaces. All these results provide key information about formation and control of defect-induced magnetism in graphene materials.
9:00 AM - W7.37
Inducing Magnetization in Graphene Nanoribbons Using Flexture
Nabil Al-Aqtash 1 Renat Sabirianov 1
1University of Nebraska Omaha USA
Show AbstractZigzag graphene nanoribbons (ZGNRs) are antiferromagnetic in the ground state with zero net magnetization due to the compensation of contributions from opposite edges. The uniform deformations (both shear and axial) do not produce magnetization due to the symmetry restrictions. However, one of the potential ways of inducing magnetism in GNR is through introduction of the strain gradient. We report the results of first-principles calculations that predict that the induction of net magnetization in the graphene nanoribbon upon non-uniform strain applied to the nanoribbon. Using density functional theory (DFT) method, we show that the bending or twisting of nanoribbon produces magnetization because in the presence of strain gradient the induced magnetization on opposite edges are not compensating each other. We estimate an average magnetization of ~ 3.3µB that produced from the bending of nanoribbon with the sinusoidal profile δx=Asin(2πz/L) with A= 3Å and L=87.4 Å (z=0..L/2, i.e. the half of the period). Our study suggests that the induced magnetization can be used for the control of magnetic structure in graphene including the trap of the domain walls.
9:00 AM - W7.38
Magnetism of Zigzag Triangular Holes in Graphene: A First-principles Study
Muhammad Ejaz Khan 1 Sung-Jae Woo 1 Yi Yang Sun 2 Shengbai Zhang 2 Yong-Hyun Kim 1
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Republic of Korea2Rensselaer Polytechnic Institute Troy USA
Show AbstractMonolayer graphene has unique electronic and mechanic properties that attracted much attention of researchers for versatile applications of this material. Graphene in its pristine state is nonmagnetic. A magnetism can be induced in this sp2 bonded carbon material by employing defects and impurities. It has been reported that zigzag edges of graphene nanoribbons show a characteristic magnetism due to localized electronic states. For practical applications, however, the graphene nanoribbons are inappropriate because two opposite zigzag edges are antiferromagnetically coupled so that the net magnetic moment is always zero. To overcome the difficulty, we introduced an odd number of zigzag edges into graphene by creating zigzag triangular holes with a specific size. Because of the frustration in antiferromagnetic paring of zigzag edges, graphene with zigzag triangular holes could always exhibit non-zero ferromagnetism. In this presentation, we discuss the stability, magnetization and electronic structure of graphene with zigzag triangular holes based on results of first-principles density functional theory calculations using Vienna Ab-Initio Simulation Package (VASP). We found that zigzag triangular holes with z1- or z112-terminated edges and hexagonal corners could be thermodynamically available in the experimental conditions. Magnetization of zigzag triangular holes strongly depends on edge and corner configurations as well as the size of triangle. The finite size effect was understood with electronic structure information, i.e., the location of the localized states and the Fermi level. Particularly, we proposed that zigzag triangular holes with z1-terminated edges and hexagonal corners are most promising for designing thermodynamically stable spin-polarized graphene.
9:00 AM - W7.39
Signature of Hot Electrons in the Quantum Corrections to the Conductivity of Graphene
Samuel M. Hornett 1 Mark S. Heath 1 Adam S. Price 1 Euan Hendry 1 David W. Horsell 1
1University of Exeter Exeter United Kingdom
Show AbstractThe potential of graphene for future electronic devices is dictated not only by its conductive but also its thermal properties. Overheating of charge carriers has important implications for devices. In graphene, significant overheating can occur because of the large difference between the heat capacity of the electron system with respect to the phonons [1] combined with a relatively weak electron-phonon coupling [2]. Therefore, it is important to accurately determine the electron temperature and understand how hot electrons influence conduction through the graphene device. We investigate the effects of hot electrons on carrier conduction through measurements of the quantum corrections to the classical (Drude) conductivity. It has been shown that these corrections [3] can be used as a direct measure of the electron temperature at thermal equilibrium [4]. We investigate how the electron temperature evolves with increasing electric field through detailed measurements of the weak localization (WL) and electron-electron interaction (EEI) corrections, and the universal conductance fluctuations (UCF). We measure the resistance of multi-terminal monolayer graphene devices on silica/silicon substrates at temperatures from 0.02 to 200K with applied AC and DC currents ranging from 100pA to 20mu;A. The WL and EEI corrections to the conductivity are determined from the temperature and magnetic field dependence of the resistance, and the UCF are measured as a function of carrier density. We determine the coherence length of the electrons from the WL and UCF measurements and show that it saturates at low temperatures. The saturation value is found to decrease linearly with increasing applied current. This is a direct demonstration of the overheating [2] and through analysis of the form of the WL [3] and statistics of the UCF [4] we determine the electron temperature far from equilibrium. We show that this temperature can exceed the lattice temperature by several orders of magnitude. The effect of the applied current on the EEI appears to be more complex and cannot be explained by a simple overheating model [5]. Our results indicate the possibility of a direct effect of the field on the interaction. [1] E. H. Hwang and S. Das Sarma, Phys. Rev. B 77, 115449 (2008) [2] A. S. Price, S. M. Hornett, A. V. Shytov, E. Hendry, and D. W. Horsell, Phys. Rev. B 85, 161411 (2012) [3] F. V. Tikhonenko, D. W. Horsell, R. V. Gorbachev and A. K. Savchenko, Phys. Rev. Lett. 100, 056802 (2007) [4] K. Kechedzhi, D. W. Horsell, F. V. Tikhonenko, A. K. Savchenko, R. V. Gorbachev, I. V. Lerner and V. I. Fal'ko, Phys Rev Lett. 102, 066801 (2009) [5] V. I. Kozub, A. M. Rudin, Phys. Rev. B 52,7853 (1995)
9:00 AM - W7.40
Sources of Electron Scattering that Cause 1/f Noise in Graphene
David W. Horsell 1 Alexey A. Kaverzin 1 Alexander S. Mayorov 2 Andrey Shytov 1
1University of Exeter Exeter United Kingdom2University of Manchester Manchester United Kingdom
Show AbstractWe experimentally study the effect of different scattering potentials on the 1/f noise observed in graphene devices on silica and silicon nitride substrates. The noise in nominally identical devices is seen to behave in two distinct ways as a function of carrier concentration, changing either monotonically or nonmonotonically. We attribute this to the interplay between long- and short-range scattering mechanisms. Water was found to significantly enhance the noise magnitude and change the type of the noise behaviour. By using a simple model, we show that water is a source of long-range scattering. Its presence on the graphene surface was found to increase the noise by an order of magnitude, yet cause a comparatively insignificant change in the resistance, which demonstrates that low-frequency noise and resistance in graphene can be determined by different scattering mechanisms. We have also shown that the 1/f noise at the Dirac point and at finite concentration originates from different sources of scattering and most likely from different fluctuation mechanisms.
9:00 AM - W7.41
Spin Transport in Epitaxial Graphene
Marie-Blandine Martin 1 2 Bruno Dlubak 1 2 Cyrile Deranlot 1 2 Bernard Servet 3 Stephane Xavier 3 Richard Mattana 1 2 Mike Sprinkle 4 Claire Berger 4 5 Walt De Heer 4 Frederic Petroff 1 2 Abdelmadjid Anane 1 2 Pierre Seneor 1 2 Albert Fert 1 2
1Unitamp;#233; Mixte de Physique CNRS/Thales Palaiseau France2Universite Paris-Sud 11 Orsay France3Thales Research and Technology Palaiseau France4School of Physics, Georgia Institute of Technology Atlanta USA5CNRS-Institut Namp;#233;el Grenoble France
Show AbstractSpintronics has already had a crucial impact on widely distributed applications such as magnetic memories (hard drives read heads, MRAMs) and sensors. Further propositions have been formulated toward potential post-CMOS logic architectures based on spin as the information vector. Efficient spin transport with large diffusion lengths in a lateral channel between spin polarized contacts is fundamental to this vision. However, despite several decades of intense research in particular with inorganic semiconductors, the required platform is still wanting. We will present magneto-transport experiments on epitaxial graphene multilayers on SiC connected to cobalt electrodes through alumina tunnel barriers. The spin signals are in the MOmega; range in terms of ΔR = ΔV/I [1]. This is well above the spin resistance of the graphene channel. The analysis of the results in the framework of drift/diffusion equations leads to spin diffusion length in graphene in the 100-300 mu;m range (as high as 285 mu;m) for a series of samples having different lengths and different tunnel resistances. Magnetoresistances up to 10% are observed. In the best case, the spin transport efficiency of epitaxial graphene is found to be of 75% of the ideal channel [1]. Our results show that graphene could be envisioned as a material of choice for transport/processing of spin information and unlock large scale logic circuits. Understanding the mechanism of the spin relaxation, improving the spin diffusion length and also testing various concepts of spin gate are the next challenges. [1] B. Dlubak, M.-B. Martin, C. Deranlot, B. Servet, S. Xavier, R. Mattana, M. Sprinkle, C. Berger, W. A. De Heer, F. Petroff, A. Anane, P. Seneor and A. Fert, Nature Phys., in press (2012). doi:10.1038/nphys2331
9:00 AM - W7.42
Long-range Interactions between Substitutional Nitrogen Dopants in Graphene: Electronic Properties Calculations
Hakim Amara 1 Philippe Lambin 2 Francois Ducastelle 1 Luc Henrard 2
1ONERA-CNRS Chatillon France2University of Namur Namur Belgium
Show AbstractBeing a true two-dimensional crystal, graphene has special properties. In particular, a point-like defect in graphene may have effects in the long range. This peculiarity questions the validity of using a supercell geometry in an attempt to explore the properties of an isolated defect. Still, this approach is often used in ab-initio electronic structure calculations, for instance. How does this approach converge with the size of the supercell is generally not tackled for the obvious reason of keeping the computational load to an affordable level. The present work addresses the problem of substitutional nitrogen doping of graphene. DFT calculations have been performed for different supercells. Although these calculations correspond to N concentrations that differ by 10%, the local densities of states on and around the defects are found to depend significantly on the supercell size. Fitting the DFT results by a tight-binding Hamiltonian makes it possible to explore the effects of a random distribution of the substitutional N atoms, in the case of finite concentrations, and to approach the case of an isolated impurity when the concentration vanishes. The tight-binding Hamiltonian is used to calculate the STM image of graphene around an isolated N atom. STM images are also calculated for graphene doped with few at% concentration of nitrogen.The results are discussed in the light of recent experimental data.
9:00 AM - W7.43
UV-ozone Treatment for Improved Contacts to Graphene
Wei Li 1 2 Yiran Liang 1 Dangmin Yu 1 Kurt P Pernstich 2 Tian Shen 2 David J Gundlach 2 Xuelei Liang 1
1Peking University Beijing China2National Institute of Standards and Technology Gaithersburg USA
Show AbstractWe report on the influence of UV-Ozone (UVO) treatment on the electrical properties of metal contacts formed to single layer graphene grown by CVD. Polycrystalline graphene was grown on copper foil and transferred onto a heavily doped silicon wafer with a 300 nm thick thermally grown SiO2 insulator using the method described previously [1]. E-beam deposited Ti (20 nm)/Au (80 nm) contacts were patterned by photolithography and a lift-off process. Just prior to depositing the contacts, the substrates were UVO treated in a commercial system for times ranging from 5 minutes to 25 minutes, where only the graphene surface in the lithographically-defined openings of the resist layer were exposed to UVO. The devices were completed by oxygen plasma etching the graphene in the field regions. For comparison, we fabricated test structures in parallel without UVO treatment. The electrical characteristics of the devices were measured in air at room temperature. All devices were found to have a high sheet carrier concentration at zero gate bias, i.e. the minimum in transconductance vs. gate-source voltage is shifted by many 10&’s of volts from VGS = 0V. We used un-gated TLM analysis to extract the sheet resistance from devices with L = 10.5, 16.5, 22.5, 28.5, 34.5, 40.5, and 46.5 mu;m and fixed contact width, W, of 10 mu;m. The combined contact resistance was taken from the extrapolated intercept at zero channel length. A linear regression fit the data well for long channel length, L > 10mu;m, where we assumed diffusive transport[2]. The extracted contact resistance showed a marked decrease from > 104 #8486;-mu;m without treatment to several hundred #8486;-mu;m with 25 minutes of UVO treatment. Sheet resistance remained relatively unchanged; Rs ~ 400 ohm/square. Raman spectroscopy was used to monitor the effect of UVO treatment, and from the minor increase in D-peak intensity we concluded that the graphene was not significantly degraded with UVO treatment. In summary, we found that contact characteristics were strongly dependent on interfacial conditioning prior to metallization. UVO was used as a simple process for cleaning resist residue and reducing the contact resistance. Using this approach, we obtained a contact resistance as low as 184±119 #8486;-mu;m that compares well with published record values [3]. [1] X. Liang et al., ACS Nano, 5, 9144 (2011), [2] A. Venugopal et al., J. Appl. Phys, 109, 104511 (2011), [3] A. D. Franklin et al., Electr. Dev. Lett., 33, 17 (2012)
9:00 AM - W7.44
Optical Hall Effect Measurement of Coupled Phonon Mode - Landau Level Transitions in Epitaxial Graphene on Silicon Carbide
Philipp Kuehne 1 Tino Hofmann 1 Alex Boosalis 1 Craig M Herzinger 2 L. O Nyakiti 3 V. D Wheeler 3 R. L Myers-Ward 3 C. R Eddy 3 D. Kurt Gaskill 3 Mathias Schubert 1
1University of Nebraska Lincoln Lincoln USA2J. A. Woollam Co. Inc. Lincoln USA3U.S. Naval Research Laboratory Washington USA
Show AbstractWe report on measurements of the Optical Hall effect [1-3] on epitaxial graphene samples, and present Landau Level transition features detected at 1.5 K as a function of magnetic field up to 8 Tesla. The epitaxial graphene samples, grown on C-face SiC, reveal Landau transitions which are detected in reflection configuration at oblique incidence for wavenumbers below, across and above the silicon carbide reststrahlen range. Small Landau transition features are enhanced across the silicon carbide reststrahlen range due to surface-guided phonon-polariton coupling with the electronic Landau transitions in the graphene layer. We analyze the spectral and magnetic-field dependencies of the coupled resonances, and compare our findings with previously reported Landau transitions measured in transmission. Additional features resemble transitions previously assigned to bilayer inclusion [4], as well as graphite [5]. We present and discuss a dielectric function tensor model description to account for the electromagnetic polarizability of the graphene layers, and which is sufficient for quantitative model calculation of the Optical Hall effect data. We present and discuss the transition energies and amplitude parameters. The optical-Hall effect measures the generalized ellipsometry parameters, i.e., the normalized Mueller matrix elements, of samples placed within external magnetic fields, as a function of wavenumber and magnetic field strength and direction. Our setup was described previously, and currently measures spectral data from 100 GHz to 210 THz [1]. We propose use of the Optical Hall effect for accurate characterization of the magnetooptic response of epitaxial graphene in reflection, and for quantitative analysis of Landau transition features. [1] T. Hofmann et al. Rev. Sci. Inst. 77, 063902 (2006); (Opt Hall) [2] T. Hofmann et al., Rev. Sci. Instrum. 81, 023101 (2010) (THz GSE) [3] T. Hofmann et al., Appl. Phys. Lett. 98, 041906 (2011) (graphene+RT OHE) [4] M. Orlita et al., PRB 83, 125302 (2011) [5] M. Schubert, Ann. Phys. (Leipzig) 15, No. 7 - 8, 480 - 497 (2006)
9:00 AM - W7.45
Graphene Nanoflakes: Effects of Size, Shape and Edge Doping on the Energy Gap
Romeo de Coss 1 Carlos Ramos-Castillo 1 Eduardo Cifuentes-Quintal 1
1Cinvestav-Merida Merida Mexico
Show AbstractThe electronic structure of graphene corresponds to a semi-metal with π-electrons at Fermi level, which are responsible of the unique electronic properties for this material. Graphene nanostructures show an energy gap resulting of the finite size, and are of current interest because of the potential applications in electronic and optoelectronic devices. Thus, we discuss some recent progress in the synthesis of graphene nanoflakes obtained from the reaction of polyaromatic hydrocarbons and from fullerenes or nanotubes. In this work, we are presenting ab-initio results for the electronic properties of graphene nanoflakes of different effective radius (R) and different shapes. We find that the, Kohn-Sham gap decreases with size as 1/R, as predicted by the simple confinement model, while the quasi-particle energy gap shows a deviation from that scaling rule. Secondly, the electronic structure of graphene nanoflakes with edge chemical modifications using different atoms and functional groups is analyzed. The results show the feasibility of tailoring the electronic and optical properties of graphene nanostructures by size, shape, and edge doping. Work supported by Conacyt-México under Grant No. 83604.
9:00 AM - W7.46
Raman Spectroscopy of Epitaxial Graphene Nanoribbons
Yuzuru Nakamori 1 Takashi Kajiwara 1 Satoru Tanaka 1
1Kyushu University Fukuoka Japan
Show AbstractGraphene nanoribbons (GNRs) attract a lot of interests not only due to possible applications to future electronic devices but its unique electronic characteristics owing to the presence of edges. Depending on the edge character, zig-zag or arm-chair type, the electronic structure of GNRs shows different features from the one of 2D graphene [1]: a localized flat band and a band-gap, respectively. We have fabricated GNRs via epitaxial growth on vicinal SiC surfaces [2]. In this presentation we show the results of micro Raman spectroscopy of such GNRs in terms of electron-phonon interactions at edges. GNRs were grown on vicinal SiC surfaces (off toward <1-100>), which indicate ordered nanofacet structures with the typical (0001) terrace width of 10nm after high temperature hydrogen gas etching. Molecular beam epitaxy enables selective growth of a graphene buffer layer on (0001) terraces, resulting in nanoribbons with ~10nm width. The sample was then hydrogenated to transform the buffer layer to graphene [3]. Epitaxial relationship between SiC and graphene suggests the arm-chair edges of GNRs grown by MBE. A Raman spectrum shows typical graphene features including clear D-, G-, and G&’-bands. It is noticed that the D-band intensity is high compared to G-band, which implies the strong contribution of arm-chair edges acting as elastic scattering centers. This evidences the arm-chair edges (Raman active) present at the GNRs. Polarization angle dependence of the D-band intensity also supports this as it follows the cos4(theta;) law predicted by theories [4]. In comparison, GNRs with zig-zag edges grown on different vicinal SiC surfaces (off toward <11-20> ) is investigated and found no such polarization dependence. References [1] K. Nakada et al., Phys. Rev. B 54, 17954 (1996). [2] H. Nakagawa et al., Phys. Rev. Lett. 91, 226107 (2003). [3] C. Riedl et al., Phys. Rev. Lett. 103, 246804 (2009) [4] K. Sasaki et al., Phys. Rev. B 82, 205407 (2010).
9:00 AM - W7.47
Structure and Electronic Transport in Graphene Wrinkles
Wenjuan Zhu 1 Tony Low 1 Vasili Perebeinos 1 Ageeth A. Bol 1 Yu Zhu 1 Hugen Yan 1 Jerry Tersoff 1 Phaedon Avouris 1
1IBM T. J. Watson Research Center Yorktown Heights USA
Show AbstractWrinkling is a ubiquitous phenomenon in two-dimensional membranes. In particular, in the large-scale growth of graphene on metallic substrates, high densities of wrinkles are commonly observed. Despite their prevalence and potential impact on large-scale graphene electronics, relatively little is known about their structural morphology and electronic properties. Surveying the graphene landscape using atomic force microscopy, we found that wrinkles reach a certain maximum height before folding over. Calculations of the energetics explain the morphological transition, and indicate that the tall ripples are collapsed into narrow standing wrinkles by van der Waals forces, analogous to large-diameter nanotubes. Quantum transport calculations show that conductance through these collapsed wrinkle structures is limited mainly by a density-of-states bottleneck and by interlayer tunneling across the collapsed bilayer region. Also through systematic measurements across large numbers of devices with wide folded wrinkles, we find a distinct anisotropy in their electrical resistivity, consistent with our transport simulations. These results highlight the coupling between morphology and electronic properties, which has important practical implications for large-scale high-speed graphene electronics. *This work is published on-line in Nano letter, DOI: 10.1021/nl300563h, 2012
9:00 AM - W7.48
Stone-Wales Defects in Graphene: Gap or No-gap?
Sharmila Narendra Shirodkar 1 Umesh V. Waghmare 1
1Jawaharlal Nehru Centre for Advanced Scientific Research Bangalore India
Show AbstractTopological defects (TD) in a material greatly influence various properties including its stability and deformation behavior, particularly so of a nano-material like graphene. Stone-Wales (SW) defect a commonly observed TD in graphene, is associated with a pair of pentagons and heptagons that arise from a 90o rotation of its C-C bond. Here, we identify signatures of SW defects in the vibrational and electronic properties of graphene using first-principles Density Functional Theory (DFT) calculations. We find that the energy of interaction between SW defects exhibits a power law dependence on the separation between the defects, which we explain using continuum analysis. We determine the shifts in the G and D bands in the Raman spectra of graphene due to SW defects as a function of their concentration, which will be useful in interpretation of observed Raman spectra of graphene. We predict long wavelength rippling instabilities associated with a SW defect, consistent with earlier work [1], and present a quasi-continuum theory to show that the origin of these rippling instabilities is in the coupling of G band and strain. Our work shows that the effects of SW defect on electronic structure are quite anisotropic and subtle, which misled earlier works to conclude opening of band gap due to SW defects [2,3]. While a band gap opens up at K-point, we show that the Dirac cone (with vanishing gap) is shifted from K to K±δk in the Brillouin zone (BZ), in the direction dependent on the the orientation of a SW defect. Through a thorough study, we find that SW defects (just like the G band) lead to shift in the Dirac cones in the BZ from K to K±δk. References [1] Jie Ma, Dario Alfè, Angelos Michaelides and Enge Wang, Phys. Rev. B, 80, 033407 (2009). [2] Xiangyang Peng and Rajeev Ahuja, Nano. Lett. 8, 4464 (2008). [3] Valentin N. Popov, Luc Henrard and Philippe Lambin, Carbon 47, 2448 (2007). [4] Sharmila N. Shirodkar and Umesh V. Waghmare, in preprint.
9:00 AM - W7.49
Reversible Charge Transfer Chemical Doping of Single Layer Graphene
Zefei Wu 1 Lin Wang 1 Wei Li 1 Yuheng He 1 Xiaolong Chen 1 Mingwei Zhang 1 Yu Han 1 Yang Wang 1 Yuan Cai 1 Ning Wang 1
1Hong Kong University of Science and Technology Clear Water Bay, Kowloon Hong Kong
Show AbstractSingle atomic layer graphene, a two-dimensional network of sp2 carbon atoms, has already revealed a cornucopia of interesting physical and chemical properties. The electronic transport properties in graphene can be modified by a variety of ways. Charge transfer to and from absorbed molecules can shift the Fermi level with no substitutional impurities and thus has aroused growing interest nowadays. Few layer graphene exposed to Br2 and I2 were studied by Raman spectra in 2009, proving that single-layer graphene was doped by adsorbing I2 on the surface. However, up till now, no detailed electronic transport measurements of iodinated graphene at low temperatures or under magnetic fields has been reported. Recently, reversible fluorination, chlorination and bromination of few-layer graphene were also found. The halogenated graphene decomposes on H2/Ar annealing or on laser or electron beam irradiation. But the electronic transport properties of reduced fluorinated graphene were unsatisfied, which may limit the applications of this doping method. We report reversible charge transfer chemical doping of high quality single-layer graphene. The electron mobility of the pristine graphene devices was estimated to be more than 16,000 cm2 V-1 s-1. We first carried out an in-situ measurement of single-layer graphene under iodine doping at both room temperature and liquid helium temperature. The measurement results demonstrated that intrinsic graphene is greatly sensitive to different doping concentration of iodine vapor. At the highest doping concentration, iodine-doped graphene exhibits metallic characteristics as evidenced by linear relationship between resistance and temperature and by the positive magneto-resistance observed and can be fitted using Kohler's rule. The half-integer quantum Hall effect disappeared thoroughly after iodine doping. In Raman spectrum, two peaks at around 110 and 160 cm-1 were observed, proving that the iodine forms triiodide and pentaiodide on graphene sample. Then, a post immersing method was introduced. We put the iodine-doped graphene sample in alcohol at room temperature for 60 minutes. High solubility of iodine in alcohol helped cleaning the graphene automatically. The graphene samples completely recovered from the state of being doped after we took it out of alcohol. Clear half-integer quantum Hall effect can be seen again at B=8T at liquid helium temperature. Our observation opens a new way of iodination and deiodination of single-layer graphene without any permanent damages to it.
9:00 AM - W7.51
Measurement of the Intrinsic Carrier Recombination in Few-layer Exfoliated Graphene
Enrico Da Como 1 Thomas Limmer 2 Jochen Feldmann 2
1University of Bath Bath United Kingdom2Ludwig-Maximilians-University Munich Germany
Show AbstractGraphene and few-layer graphene have an increasing number of applications in optoelectronics and photonics. Applications in lasers, optical modulators, switches and ultrafast photodiodes are only few of the latest developments. Performances are comparable if not superior to those attainable with typical inorganic semiconductors and promise graphene to be a material of choice. Device development have considered both mono- and multi-layer graphene, because of the interesting optical and electrical properties of bi- and tri-layer, originating from the more rich electronic band structure. Predicting the intrinsic limits of these devices in terms of modulation speed, sensitivity, saturation is an important task, which ideally involves the investigation of exfoliated mono- and multi-layer graphene with sophisticated optical probes. Recently, we have determined the carrier cooling rates in bi-layer graphene by pump-probe optical spectroscopy, unraveling the turnover between optical and acoustic phonon cooling and its dependence on carrier density [1]. Carrier cooling is very important for heat dissipation in devices, but it is not the only process influencing performance. For example, the switching speed in photodiodes depends on the intrinsic carrier recombination, but it is hardly accessed in real devices because of its convolution with carrier sweep out time. In this communication we determine the intrinsic carrier recombination time in exfoliated few-layer samples using femtosecond near-infrared (0.8-0.35 eV photon-energy) pump-probe spectroscopy. The spectra of the different single flakes show an evolving structure of photoinduced absorption bands superimposed on the bleaching caused by Pauli blocking of the inter-band optically coupled states. Supported by tight-binding model calculations of the electronic structure, we assign the photoinduced absorption features to inter-subband transitions as the number of layers is increased. Interestingly, the inter-subband photoinduced resonances show a longer dynamics than the inter-band bleaching, because of their independence from the absolute energy of the carriers with respect to the Dirac point. The dynamic of these inter-subband transitions depends only on the intrinsic carrier lifetime and provides an elegant method to access it in this important class of carbon nanostructures. We report lifetimes of 5 ps almost independently from the layer number up 4-layer graphene. Above such number of layers the carrier recombination time falls below 4 ps and is identical to what we observe in graphite. References [1] T. Limmer, A. J. Houtepen, A. Niggebaum, R. Tautz, E. Da Como, Applied Physics Letters 2011, 99, 103104.
9:00 AM - W7.52
A Model of Two-Dimensional Crystallization of Graphene
HoKwon Kim 1 Eduardo Saiz 1 Cecilia Mattevi 1
1Imperial College London London United Kingdom
Show AbstractThe demand for large-scale continuous single crystal graphene is increasing rapidly. The fabrication of high-quality graphene has to be based on a deep understanding of the physicochemical parameters that control the chemical vapor deposition (CVD) process. Here we model the CVD growth of graphene by developing a modified Langmuir theory of surface adsorption and two-dimensional crystallization. The model provides criteria to select the adequate processing conditions to fabricate continuous, pin-hole free films. This model is combined with our experimental analysis to identify the range of parameters that define three different phases on the substrate surface: continuous graphene layer, partial graphene coverage, and carbon adsorbates with no graphene growth. The predictions of the model match well with our experimental results and with other experimental data from literature over a wide range of growth conditions. On the basis of our model and the experimental analysis of graphene nucleation and growth, novel input for engineering wafer scale continuous monocrystalline graphene films is provided.
9:00 AM - W7.53
Theoretical Study of Graphene Nucleation on Nickel Surface
Alexander Sinitsa 1 Irina Lebedeva 1 Andrey Knizhnik 1 Boris Potapkin 1
1Kintech Lab Ltd Moscow Russian Federation
Show AbstractGraphene growth on metal films in chemical vapor deposition (CVD) process is a promising method for production large-scale graphene films. The number of layers in graphene film can be determined by solubility of carbon in metal film and kinetics of graphene nucleation. In this study we perform combined atomistic and analytical study of graphene nucleation kinetics on the Ni(111) surface. Atomistic modeling is based on reactive empirical potentials for Ni-C system and molecular dynamics method. Analytical theory is based on reaction-diffusion model of carbon transport on Ni film, which includes carbon dissolution in Ni film and surface nucleation of graphene. The parameters of this model were determined from first-principles calculations. We find that carbon penetration in the nickel film strongly depends on deposition temperature. Only at low temperatures graphene nucleation can take place without significant dissolution of carbon in the nickel film. At typical CVD temperatures carbon prefer to fill subsurface layer before nucleation of first graphene layer. Thus temperature management can be used to control number of layers in growing graphene film.
9:00 AM - W7.55
Stable and Unstable Form of Edge at the Edge of Hole in the Graphene Sheet
Behrad Barakati 2 Ahmad Yazdani 1
1Islamic Azad University Tehran North Branch Tehran Islamic Republic of Iran2Islamic Azad University Tehran North Branch Tehran Islamic Republic of Iran
Show AbstractSince the entangled excitation energy of the (TEAM) and the preserving of the quantum state of the topological position of the special carbon element is sensitive to the relaxation time , its duality position is suggested to be singlet which is investigated during relaxation time . The dynamical displacement of the divorce atom ( broken tripled bound ) could be detected (exist ) in each away the system stay on unstability where the zigzag edge is manifested , during the TEAM electron beam and the system transform to the zz57 edge during the relaxation time where there is no external beam . the armchair edge is stable until a carbon atom divorce from edge .Thus the beam divorce a carbon atom from the edge and leaving dangling atom , the dangling carbon atom try to participate in the edge structure so it move around the edge to find the place to take stability . Thereupon if there is no any external energy to the system the hole edge is stable with zz57 edge or armchair edge after a period of time.
9:00 AM - W7.56
Graphene Recycled from Coal Tar Pitch
Hong-Kyu Seo 1 Sun-Jung Byun 1 Tae-Hee Han 1 Minhyeok Son 2 Sung-Young Lee 4 Hee Cheol Choi 2 Jong-Hyun Ahn 3 Tae-Woo Lee 1
1POSTECH Pohang Republic of Korea2POSTECH Pohang Republic of Korea3Sungkyunkwan University Suwon Republic of Korea4Research Institute of Industrial Science amp; Technology (RIST) Pohang Republic of Korea
Show AbstractGraphene, a mono-layer of sp2 hybridized carbon atoms, has seized great attention due to its extraordinary electrical and mechanical properties. Recently, chemical vapor deposition (CVD) method with CH4 or C2H2 gases has been demonstrated as most promising way among the various methods to produce a large-area and highly functional graphene. In the CVD method, however, additional transfer process must be included to step forward to practical applications and commonly used carbonaceous gases in the high temperature process are explosive. To overcome this obstacle, our group reported simple and scalable synthesizing process with polymers, which avoids physical transfer processes. In this work, we have obtained mono-layer and few-layer graphene directly converted from coal tar pitch films on a Si/ SiO2 substrate. The coal tar pitch, which contains approximately 93% of carbon as the form of condensed aromatic compound, is a residue produced by distillation or heat treatment of coal tar. Graphene converted from this waste oil contributes to the global environmental problem as well as reduces the related waste-disposal costs. The Ni capping metal on the coal tar pitch film plays both roles of a passivation layer on the evaporable coal tar pitch film and a metal catalyst for efficient graphene growth. The optimal annealing time is relatively short (< 5 min), compared with CVD method. After graphene growth, the metal layer can be removed easily by placing the sample directly in metal etchant. Furthermore, patterned graphene can be simply obtained by patterning the metal capping layer via shadow evaporation on the coal tar pitch films. The graphene recycled from coal tar pitch grown on dielectric substrate was directly used as electrodes for field-effect transistors. In conclusion, we demonstrate that coal tar pitch-derived graphene growth directly on inert substrates in active electronic devices will have great advantages because of its easy, safe, eco-friendly and scalable synthesizing process.
9:00 AM - W7.57
Large Area Orientation Films Based on Graphene Oxide Self-assembly and Low-temperature Thermal Reduction
Yao Li 1 Jiupeng Zhao 2 Yongan Niu 1
1Harbin Institute of Technology Harbin China2Harbin Institute of Technology Harbin China
Show AbstractGraphene Oxide (GO) and reduced graphene oxides (RGO) have many outstanding physical and mechanical properties. Uniform and thickness controllable RGO films with large area have been prepared by evaporation-induced self-assembly at a liquid/air interface on glass substrates in combination with low temperature thermal reduction at 200 degree Celsius. The low-temperature reduction process has the advantage of complete compatibility with flexible or other substrates. The films are of centimeter scale and their thickness can be controlled. The structrual evolution and surface morphology of the films have been characterized. The obtained thermal reduction graphene oxide films exhibit excellent optical properties and a high elastic modulus of 76.18GPa and a hardness of 6.89GPa.
9:00 AM - W7.58
Ice-assisted Electron Beam Lithography of Graphene
Jules A Gardener 1 Jene A Golovchenko 1 2
1Harvard University Cambridge USA2Harvard University Cambridge USA
Show AbstractWe will show that a low energy focused scanning electron beam can locally pattern graphene coated with a thin ice layer. The irradiated ice plays a crucial role in the process by providing activated species that locally remove graphene from a silicon dioxide substrate. After patterning the graphene, the ice resist is easily removed by sublimation to leave behind a clean surface with no further processing. The procedure is performed in-situ in a modified scanning electron microscope. Desirable structures such as nanoribbons are created using the method. Defects in graphene from electrons backscattered from the bulk substrate are identified. They extend several microns from the e-beam writing location. We will show that these defects can be greatly reduced and localized by using thinner substrates and/or gentle thermal annealing.
9:00 AM - W7.59
Production of High-quality Nanographene by Supercritical Fluid Exfoliation
Takaaki Tomai 1 Itaru Honma 1
1Tohoku University Sendai Japan
Show AbstractNanometer-sized graphene, nanogrphene, has attracted much attention due to its unique characteristics and has been applied to nanoelectronics devices in the form of nanometer-sized interconnect and quantum dot. Recently, we propose the novel production methods for graphene using supercritical fluid. The starting materials are first immersed in supercritical fluids (SCFs) and then subjected to rapid depressurization (quenching). It is supposed that during such process, SCF diffusion between the graphene layers and/or thermal shock peel graphene sheets off. Using this method, high-quality, gram-scale processable graphene can be obtained without acid oxidation which leads to an introduction of undesirable oxygen functional groups and the degradation of ideal graphene/nanographene properties. In this study, we indicated that nanographene with a narrow distribution of the lateral size was obtained using SCF exfoliation, when we use platelet carbon nanofiber as a starting material [T. Tomai et al., Appl. Phys. Lett. 100, 233110 (2012).]. Moreover, it was observed that the lateral sizes of the thinner graphene sheets were distributed within a smaller range. This result suggests that during the exfoliation process, cutting of the basal plane took place. We also conducted Raman spectroscopic analysis for identifying the graphene quality and it was revealed that the defect density of nanographene can be reduced to less than that of the starting material by means of SCF exfoliation. In MRS Fall Meeting, the dependence of defect density of nanographene on the temperature protocol and on the starting material will be discussed in detail.
9:00 AM - W7.60
Suppressed Oxidation of Graphene Sheets with Low-bias Electrochemical Exfoliation
Gong-Ru Lin 1 Chun-yu Yang 1 Ling-Hsuan Tsai 1 Chun-Lun Wu 1
1National Taiwan University Taipei Taiwan
Show AbstractSingle-layer graphene has emerged since 2004 and created a new research branch for high-speed electronics and ultrafast laser optics. The first graphene was obtained by exfoliating the graphite with sticky tapes, but this approach was lack of efficiency and reproducibility. Ultrasonic treatment of graphite was introduced later. However, the flaked graphene was small in size. The chemical-vapor deposition method was one efficient way to grow large-area and high-quality graphene, but this approach had high criteria on processing environment. Recently, the electrochemical exfoliation of graphite was proposed as a new-class technology, which could easily and rapidly produce high-quality few-layer graphene. Nevertheless, the effect of anodic oxidation to the quality of graphene was seldom discussed. In this work, the few-layer graphene obtained by electrochemical exfoliation are investigated to clarify the graphene oxidation phenomenon at changing biased conditions. By precisely controlling the bias with centrifugation, the quality and uniformity of few-layer graphene was analyzed. In experiment, the highly oriented pyrolytic graphite sheet was used as anode, and a Pt wire served as cathode. The electrolyte was prepared by adding 2.7 mL of 96% H2SO4 into 100 mL of DI water. Subsequently, both electrodes were dipped into the electrolyte and separated by 5 cm. The DC bias was applied to electrochemically exfoliate the graphite sheet at anode with its voltage varying from +3 to +10V. Afterwards, the graphite flakes floating on the surface of aqueous electrolyte solution was filtered by 1-mu;m porous filter, dispersed in acetone and then ultrasonic treated for 5 min. The supernatant was taken to fabricate the graphene film. The mixture was centrifugated at 550xg for 2 min. Despite the wide thickness distribution of as-exfoliated flakes, the graphene could be controlled with nearly identical size and layer number after centrifugation. With enlarging biased voltage, the electrochemical exfoliation rate of graphite was accelerated. The sulfate ions in electrolyte invade the graphite electrode violently to cause thicker flakes with heavier surface oxidation. The quality of centrifugated graphene was identified by comparing the Raman intensity at D-band (1350 cm-1), G-band (~1580 cm-1), and 2D-band (~2680 cm-1). The D/G band intensity ratio increased from 0.67 to 1.05 with increasing bias from +3 to +10V, indicating that the graphene with higher defect density due to enhanced oxidation was obtained at larger biased voltage. In opposite, the 2D/G mode intensity ratio was decreased from 0.33 to 0.2 with increasing bias, revealing that the graphene with reduced layer number and lower degree of oxidation can be obtained under low-voltage electrochemical exfoliation. This observation concludes the low-bias electrochemical exfoliation of graphite sheet facilitates few-layer graphenhe generation with suppressed oxidation.
9:00 AM - W7.61
Sequential Hydrogenation Assisted Unzipping of CNTs to Realize Graphene Nano Sheets
Somayeh Mohammadi 1 Zahra Kolahdouz 1 Mahla Poudineh 1 Shams Mohajerzahdeh 1
1University of Tehran Tehran Islamic Republic of Iran
Show AbstractGraphene nano-ribbons (GNRs) are one dimensional structures with high carrier mobility, high switching speed and width dependant band gap. These properties make them exciting materials in the fabrication of nanoelectronic devices. In recent years, there have been attempts to derive GNRs from carbon nano-tubes (CNTs). In this work, we introduce a novel sequential method for obtaining GNRs from CNTs. Multi-wall CNTs grow vertically on a Silicon substrate in a DC- Plasma enhanced chemical vapor deposition chamber at 700 C using a gas mixture of methane and hydrogen. By means of high precision photolithography we have obtained parallel lines of nickel as the seed for the growth of CNT followed by the vertical growh of CNTs in the same manner. Vertically-aligned CNTs are released from the substrate by the etching of underlying Si using SF6 palsma. Then, they are transferred onto another Si substrate in a horizontal manner through immersing in Di-methyl-formamide (DMF) solution. Horizontal CNTs are then exposed to a sequential passivation and etching process in a reactive ion etching unit. A mixture of hydrogen, oxygen and SF6 gases are used to result in proper unzipping of horizontal CNTs. While hydrogen plasma is believed to be responsible for the unzipping of the nanotubes, the passivation step is needed to avoid damaging the sidewalls of the previously ruptured walls. The formation of GNRs has been investigated using field-emission-SEM, transmission electron microscopy, Raman spectroscopy and atomic force microscopy. Graphene nanoribbons with a height of 2-3 nm have been obtained from CNTs with a diameter of 30-50 nm. For partially processed structures, we have observed half-zipped features where the central tube of CNTs is quite visible. Raman spectroscopy corroborates the conversion of nanotubes into graphene sheets by changing the intensity of the G-line with respect to D-line. In addition, we have used a high temperature treatment of nanotubes in a hydrogen plasma environment and observed an anomalous swelling of CNTs prior to unzipping. Further investigation of these structures using Raman and FTIR spectroscopy proves the incorporation of hydrogen in the CNTs and has caused a blue shift in the Raman spectrum.
9:00 AM - W7.63
Optical Conductivity from Infrared Transmittance of Large Area Graphene on Silicon
Jan-Willem Weber 1 Ageeth Bol 1 Richard van de Sanden 2 1
1University of Technology Eindhoven Eindhoven Netherlands2Dutch Institute for Fundamental Energy Research (DIFFER) Nieuwegein Netherlands
Show AbstractWe present a new method to extract the optical conductivity from infrared transmittance for large area chemical vapour deposited (CVD) graphene on silicon and apply it to experimental data in the photon energy range of 370-7000 1/cm. The optical conductivity does not only allow us to derive the carrier mobility and density but also gives information on the number of graphene layers and reveals the existence of polymer residue after transfer. Infrared transmittance combined with this method could be used in addition to Raman spectroscopy as a fast and easy standard non-invasive tool for assessing the quality of CVD graphene.
9:00 AM - W7.64
Optoelectronic Properties of Graphene - MoS2 Hybrid
Medini Padmanabhan 1 Kallol Roy 1 Srijit Goswami 1 T. Phanindra Sai 1 Gopalakrishnan Ramalingam 2 Srinivasan Raghavan 2 Arindam Ghosh 1
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractUltra-thin flakes of layered materials have recently been attracting widespread research interest due to their exotic properties. In this work, we study the optoelectronic response of a hybrid of two such materials: graphene and MoS2. Our devices consist of mechanically exfoliated graphene flakes transferred on top of similarly exfoliated MoS2. The electrical response of the hybrid is studied in the presence of white light. We show that the resistance of the device is modulated in the presence of light and that the induced photoconductivity is persistent. We exploit this property to show a device design which can act as an optoelectronic switch. The effect of gate voltage on the responsivity of the system is also reported. We have also extended our studies to CVD (chemical vapor deposition) - grown graphene transferred onto MoS2 which show qualitatively similar features, thereby attesting to the scalability of the device architecture.
9:00 AM - W7.66
Fluorination of Mono- and Bi-layer Graphene by Ar/F2 Plasma
Kosuke Tahara 1 Takayuki Iwasaki 1 Akihiro Matsutani 1 Satoko Furuyama 1 Yasuhide Ohno 2 Kazuhiko Matsumoto 2 Mutsuko Hatano 1
1Tokyo Institute of Technology Tokyo Japan2Osaka University Osaka Japan
Show AbstractAttaching fluorine atoms to the graphene surface is one possibility for controlling electronic properties and achieving new functions in graphene. We propose a well-controlled method of fluorinating both mono- and bi- layer graphene using Ar/F2 plasma. Graphene samples on SiO2 (285 nm) / Si substrates were prepared by mechanical exfoliation. Using a reactive ion etching system, samples are exposed to Ar/F2 (90% / 10%) plasma at 0.1 Torr with RF power of 5 W and a 75 sccm gas flow rate. The reaction time ranged from 0.5 to 30 min. To prevent the plasma damage, substrates were placed face down on the RF electrode and then the graphene samples are mainly exposed to fluorine radicals. The characterization is based on Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and carrier transport measurement. We can monitor fluorination degrees by Raman spectroscopy because F atoms form sp3 hybridized C bonds and act as point defects. We found controllability of fluorine contents by changing the reaction time and reactivity difference between mono- and bi- layer graphene, that is, changes of Raman spectra in bilayer graphenes are less drastic than monolayer graphenes. Reversibility checked by annealing evidenced successful fluorination. We annealed fluorinated graphene samples in Ar atmosphere for 90 min at 573 K. By annealing, D peak intensities of Raman spectra were significantly weakened in both mono- and bi- layer graphene, indicating reversible fluorination. To our knowledge, reversibility in plasma-fluorinated bilayer graphene has not been reported yet. XPS measurements were done to estimate fluorine content. For this experiment, a graphene sample was prepared by transfer of chemical vapor deposition (CVD)-grown graphene on Cu foil to the same Si substrate. This large area monolayer graphene sample was fluorinated for 3 min. The C1s spectrum consists of several largely shifted components which can be attributed to each types of carbon atom's bonding. The percentage of C atoms that form C-F bonding in graphene is estimated to 18 % by analyzing this C1s spectrum. We also measured transport properties of fluorinated graphene. Conventional graphene FET device was made by electron-beam lithography and evaporation of Ti/Au electrode. Firstly, we measured ρ-VG (back gate) characteristics of pristine graphene device in vacuum. VG of charge neutrality point (CNP) was around 0 V for this pristine device. After that the device were fluorinated for 0.5 min and measured again. By fluorination, graphene was largely p-doped and CNP was moved to VG larger than 60 V, which was the limit of the measurement. Value of resistivity after fluorination was around twice of pristine device. This result indicates possibility of non-invasive p-type doping of graphene by relatively low F/C fluorination. More dramatic change of transport properties can be expected by further fluorination.
9:00 AM - W7.67
Tip Enhanced Raman Spectroscopy of Graphene on Silicon/Silicon Oxide Substrates
Hesham Taha 1 Rimma Dekhter 1 Yossi Bar-David 1 Galina Fish 1 Aaron Lewis 2
1Nanonics Imaging Ltd. Jerusalem Israel2Hebrew University of Jerusalem Jerusalem Israel
Show AbstractWe demonstrate significant selective tip enhanced Raman spectroscopy (TERS) of graphene single and multiple layers on insulating silicon oxide substrates. A unique TERS probe with a gold nanoparticle embedded in a glass surrounding gives high dielectric contrast with a defined plasmonic resonance and without Raman background. Significant enhancement of the second-order phonon mode band of graphene flakes is observed. Such a probe acts under normal force sensing mode for mapping of fine structures such as graphene single layers, provides a complete free optical axis for top viewing of opaque samples and has considerable enhancement that does not require a conductive substrate for Raman enhancement through what has been defined as a gap mode. Specialized AFM scanning protocols of Raman difference spectroscopy have been implemented for TERS effectively discriminating between the near-field and far-field contribution of the scattered Raman signals. Such Raman difference protocols provide a selective enhancement of graphene single layer in-plane vibrational modes with lower effect of subsequent grapheme layers. Furthermore, such scanning protocols allow for maximizing Z polarization excitation even when using conventional Raman excitation with a Gaussian focused laser beam.
9:00 AM - W7.68
Electrical and Mechanical Characteristics of Graphene Wrinkles Extracted by Contact-mode Atomic Force Microscopy
Ally Helmy 2 Omar Alnemer 2 Aamna Alshehhi 2 Tewfik Souier 1 Adel B Gougam 1 Hasan M Nayfeh 2 Irfan Saadat 2
1Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates2Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates
Show AbstractMonolayer Graphene, due to its high electron mobility (vs. Si) is identified to be an attractive material for transistor channels that can extend Moore&’s law. One of the key deliverables is achieving low source and drain parasitic contact resistance. This requires careful characterization of the surface of graphene in order to improve understanding of the metal to graphene interface. Far from the ideal picture of a 2-D crystal, TEM analysis has identified local corrugations called ripples, with height range from 3-5Å. Additionally, larger features, called wrinkles, with height up to 100Å have been reported for samples prepared by peeling Kish [1]. It is also shown through STM measurements, that these wrinkles are ubiquitous and that their conductance is lower than flat regions [1]. In this paper, for the first time, we employ conductive AFM to characterize the electrical and mechanical properties of these wrinkles as a function of the applied force. The graphene films in our study were prepared using CVD process followed by mechanical exfoliation on SiO2/Si substrate [2]. We apply a wide range of mechanical force (1.24 to 300 nN) to both wrinkles and flat regions. The IV curve is non-linear, we observe for both regions an increase in the current with applied force, followed by saturation for forces greater than 175 nN for the wrinkles and 125 nN for the flat regions. We calculate the ratio between the conductance (dI/dV) of the wrinkles and the flat regions. From the ratio vs. force curve we deduce that the wrinkles conductance is reduced by 14% in the non-plateau regime. The plateau conductance value for the wrinkles is reduced by only 6% compared to the flat regions. Our theoretical analysis of the IV data using a quantum mechanical tunneling model indicates the presence of a tunnel gap between the Pt/Ir coated AFM tip and the Graphene. It appears to be related to the Fermi level difference. The width of the wrinkles ranged from 31.5 to 140nm, while the height range was 1-9nm. We measure an average density of 8 wrinkles/um2. We also assessed the mechanical behavior of the wrinkles subjected to various force levels. The height of the wrinkle is reduced with increasing force, at 2X-fold increased force value the height is reduced by half. We further show that applying the same forces leads to a plastic deformation. In summary and based on the above mentioned results, we plan to investigate if such wrinkles impact the electrical contact resistance of large area structures, and how critical is the requirement of having an ideal 2-D sheet (wrinkle-free). The latter will be presented at the conference. [1] K. Xu, et al. Nano Lett, 9, 4446-4451 (2009) [2] X. Li et al., Science, 324 1312-1314 (2009)
9:00 AM - W7.69
Theory and Experiment of Enhanced Nanoscale Friction on Fluorinated Graphene
Jae-Hyeon Ko 1 SangKu Kwon 2 Ki-Joon Jeon 3 Jeong Young Park 2 Yong-Hyun Kim 1
1KAIST Daejeon Republic of Korea2KAIST Daejeon Republic of Korea3University of Ulsan Ulsan Republic of Korea
Show AbstractGraphene has much potential for applications of nanoscale electronic devices and NEMS due to its notable intrinsic properties such as electron conductivity, mobility, flexibility, and mechanical strength. Because of its unique mechanical characteristics, frictional properties of graphene may be very different from other bulk materials. In some sense, graphene is an ideal model system to study the nanoscale friction because it is rigid within plane, but very soft for the out-of-plane deformation. Very recently, ultra-high vacuum friction force microscopy (FFM) experiment shows that nanoscale friction on graphene is 6 times increased after fluorination of the graphene surface. To understand the unusual enhancement of frictional properties, we calculated elastic properties of graphene and fluorinated graphene using first-principles density-functional theory (DFT) as implemented in Vienna Ab-Initio Simulation Package (VASP). Results of DFT calculations show that the out-of-plane bending stiffness of graphene increases up to 4-fold when fluorinated. Combined with the experimental fact, the theoretical finding indicates that the less compliant fluorinated graphene exhibits more friction. This tendency, however, contrasts to the convention in three-dimensional systems, that is, more compliant materials exhibit more frictions. Therefore, the mechanics of tip-to-graphene contacts would be characteristically different from that of conventional solid-on-solid contacts. Here, we devised a theory of nanoscale friction in the graphene-on-solid contact, analogous to the theory of nanoscale friction in solid-on-solid contacts [1]. Our theory suggests that the total lateral stiffness related to friction force is associated with the out-of-plane bending stiffness in two-dimensional systems. Therefore, the enhanced nanoscale friction on fluorinated graphene can be attributed to the increased bending stiffness of chemically modified graphene. On the basis of the FFM experiments and DFT calculation results about fluorinated graphene, we propose that frictional energy in two-dimensional systems is dominated by the out-of-plane deformation mode or the flexural phonons [2]. [1] R. W. Carpick, D. F. Ogletree and M. Salmeron, Appl. Phys. Lett., 70, 1548 (1997). [2] S. Kwon, J.-H. Ko, K.-J. Jeon, Y.-H. Kim and J. Y. Park, Nano Lett., submitted (2012).
9:00 AM - W7.70
Chemically Doped Graphene
Theanne Schiros 1 Dennis Nordlund 2 Liuyan Zhao 3 Mark Levendorf 4 Lucia Palova 5 Deborah Prezzi 6 Paul Olalde-Velasco 7 Wanli Yang 7 David Reichman 5 Philip Kim 3 Lars G. Pettersson 8 Mark Hybertsen 9 Cherno Jaye 10 Jiwoong Park 4 Abhay Pasupathy 3
1Columbia University New York USA2SLAC National Accelerator Laboratory Menlo Park USA3Columbia University New York USA4Cornell University Ithaca USA5Columbia University New York USA6CNR-Nanoscience Institute Modena Italy7Lawrence Berkeley National Laboratory Berkeley USA8Stockholm University Stockholm Sweden9Brookhaven National Laboratory Upton USA10National Institute of Standards and Technology Gaithersburg USA
Show AbstractRobust methods to chemically tune the unique electronic properties of graphene are in great demand due to the potential of the 2-D material to impact a range of device applications. We demonstrate substitutional doping of graphene by individual N atoms, B atoms, and B-N dopant pairs, respectively. Combining x-ray core level spectroscopy, scanning tunneling microscopy and density functional theory, we present a detailed picture of the diverse effects of different dopants and dopant bond type on the local electronic structure, carrier concentration and work function in chemically doped graphene. These findings have important implications for the potential of graphene as a transparent contact in organic electronics, especially photovoltaics, where a tunable work function material is highly desirable.
9:00 AM - W7.71
Investigation of Surface Chlorination on Graphene
Xu Zhang 1 Ki Kang Kim 1 Jing Kong 1 Mildred Dresselhaus 1 2 Tomas Palacios 1
1MIT Cambridge USA2MIT Cambridge USA
Show AbstractChemical functionalization in graphene is a potential approach to engineer its electronic properties and make it more desirable and controllable for electronic device applications. We experimentally investigate the impact of chlorine adsorbates on the structure and properties of graphene. Raman spectra are compared before and after chlorine plasma treatment to characterize the change in defects and doping level in graphene. Subsequent XPS measurements are used to quantify the coverage percentage of chlorine on the surface. Furthermore, we conduct transport measurements on chlorinated graphene transistors to examine how chlorination modifies graphene properties, in terms of carrier concentration, mobility, sheet resistance and conductivity. It is found that exposure to chlorine plasma is an effective way to tune the Fermi level of graphene carriers toward the p-type direction, without sacrificing its high conductivity.
9:00 AM - W7.72
Toward Fractionation of Graphene Oxide According to Electronic Band Gaps via Selective Adsorption of Ionic Surfactants
A. Jaeton Glover 1 Douglas H Adamson 2 Hannes C Schniepp 1
1The College of William amp; Mary Williamsburg USA2University of Connecticut Storrs USA
Show AbstractGraphene does not exhibit an electronic band gap which hinders its use for electronic applications. One way of addressing this problem is to attach functional groups to its graphitic backbone via chemical oxidation, which can be accomplished in a scalable and economical way. However, this chemical reaction is very difficult to control and reproduce, and it yields a very heterogeneous material, where different sheets are oxidized to different degrees, rendering the material impure with respect to its optoelectronic properties. We aim to address this problem by separating GO into fractions with narrowly-defined band gaps. We therefore employ molecules that selectively adsorb on graphene sheets with a certain degree of oxidation. This amplifies physical differences of the sheets, and they can be separated according to their electronic properties using centrifugation. We have recently demonstrated adsorption selectivity with simple ionic surfactants such as sodium dodecyl sulfate (SDS). Using liquid-cell atomic force microscopy, we visualize the adsorption pattern of the surfactants on GO with spatial resolution of about 5 nm. We find that SDS does not adsorb on strongly oxidized GO with an atomic carbon-to-oxygen (C:O) ratio of 2.0, which can be explained by electrostatic repulsion. As GO is reduced and its negative surface charge diminishes, we see how the GO sheets are populated by an astonishing pattern of micellar surfactant surface aggregates with diameters of 5-7 nm. In this regime of partial coverage, SDS preferentially adsorbs to the more reduced areas. We image this adsorption distribution at the solid/liquid interface by AFM, thus visualizing the variations in surface chemistry of the sheets with 5 nm resolution. Full coverage of the sheets is observed at a C:O ratio of 10.7. Cationic surfactants, in contrast, exhibit increasing coverage as the C:O ratio decreases. Fine-tuning of this band gap-selective adsorption can be achieved by varying the mixture and concentration of surfactants, as well as other solution parameters. We envision this approach will permit the tailor-design of GO with well-defined optoelectronic properties.
9:00 AM - W7.73
Chemical Reactions and Thermal Stability of Oxygen Impurities on Graphene
Bin Wang 1 Yevgeniy S. Puzyrev 1 Sokrates T. Pantelides 1 2 3
1Vanderbilt University Nashville USA2Vanderbilt University Nashville USA3Oak Ridge National Laboratory Oak Ridge USA
Show AbstractOxygen as an impurity is known to degrade conductivity in graphene, but annealing at moderate temperatures has been found to reverse the effect. Here we report first-principles calculations of oxygen binding and reactions on graphene that elucidate the underlying physics. We find that two oxygen atoms can form an oxgyen dimer that can desorb from graphene with an overall activation barrier of 1.3 eV. However, it is energetically favorable to form even larger oxygen clusters. Once oxygen triplets form, oxygen can be removed in a more complicated reaction in which C atoms in graphene are consumed, leaving vacancies in graphene. Kinetic Monte-Carlo (KMC) calculations at finite temperature show that the concentration of both vacancies and equilibrated oxygen depend on the initial concentration of oxygen atoms and the annealing temperature, and an effective anneal of oxygen is possible only in case of a very high temperature and very low initial oxygen concentration. Overall, we find that thermal annealing to remove oxygen leads to etching of graphene. Existence of structural defects is also crucial in the oxygen dynamics and reaction. We find that structural defects such as Stone-Wales defects and grain boundaries attract and bind oxygen atoms due to the local strain. Thus, because of the small migration barrier of oxygen atoms on graphene, oxygen may migrate towards grain boundaries and aggregate to form oxygen clusters. Moreover, the strained C-C bonds at grain boundaries are easier to be broken, and indeed we find that the reaction of a triplet oxygen cluster has a lower energy barrier than on pristine graphene, indicating grain boundaries, which exist in most macroscopic graphene samples, facilitate the oxygen reactions and would be etched preferentially upon exposure to oxygen. If H atoms coexist with oxygen, an oxygen atom can bind to an H atom forming an OH group, which can also be removed by thermal annealing due to the weak binding, resulting in defect-free graphene. However, the newly formed OH groups may interact with surface oxygen atoms, forming various complex clusters, limiting the migration of oxygen species. This work was supported by DTRA Grant No. HDTRA1-10-1-0016 and the William A. and Nancy F. McMinn Endowment at Vanderbilt University. The calculations were performed at the DoD Air Force Research Laboratory Supercomputing Resource Center.
9:00 AM - W7.74
Electronic Properties of Graphene Coated with Ultra-thin Si Two-Dimensional Islands
Dong Hyun Lee 1 Jaeseok Yi 1 Jung Min Lee 1 Hu Young Jeong 2 Zonghoon Lee 2 Ungyu Paik 1 3 John Rogers 4 Won Il Park 1
1Hanyang Univ. Seoul Republic of Korea2Ulsan National Institute of Science and Technology Ulsan Republic of Korea3Hanyang Univ. Seoul Republic of Korea4University of Illinois at Urbana-Champaign Urbana-Champaign USA
Show AbstractThe potential of graphene, atomically thin layers with excellent mobility and strength, has motivated the research towards future electronics. However, implementation of graphene in practical electronic devices has been hindered owing to its semi-metallic behaviors or a zero-band gap nature. Here we describe the synthesis of graphene sheets decorated with ultra-thin Si-rich two-dimensional (2D) islands (Gr:Si sheets), in which the electronic property of graphene is modulated by coupling with the Si-islands. Analyses based on Transmission electron microscopy, atomic force microscopy, and electron and optical spectroscopies confirmed that the Si-islands with a thickness and lateral size. The field-effect transistors (FETs) based on Gr:Si sheets exhibit the enhancement of the transconductance and maximum to minimum current level compared with bare-graphene-FETs, and those magnitudes increase gradually with increasing the coverage of Si layers on the graphene. Moreover, temperature dependent current-voltage measurements show the increase in the resistance with decreasing temperature, which confirms the opening of substantial bandgap in the graphene by coupling with Si islands.
9:00 AM - W7.75
Ionic Electroactive Actuators Based on Nano-porous Graphene
Mehdi Ghaffari 1 Yang Liu 2 William Kinsman 1 Yue Zhou 2 Minren Lin 3 Shanthi Murali 4 Rodney Ruoff 4 Qiming Zhang 2
1The Pennsylvania State University State College USA2The Pennsylvania State University State College USA3The Pennsylvania State University State College USA4The University of Texas at Austin Austin USA
Show AbstractIonic electroactive actuators has become attractive transduction materials due to their relatively large electromechanical actuations that can be generated under low voltages (a few volts) and many other advantages, such as flexibility and light weight. They can be directly integrated with microelectronic controlling circuits to perform complex actuation, which require an operation voltage of only a few volts. Graphene is a single layer of carbon atoms with sp2 carbon network structure. Graphene has an array of outstanding properties such as excellent mechanical, thermal, electrical and optical properties as well as a very high surface area. Due to these unique properties, graphene provides many potential applications including actuation materials that can offer better thermal, mechanical and electrical properties Recently, porous graphene has been introduced by activation of graphene using a concentrated solution of potassium hydroxide at 800 degree C. This material exhibits high surface area and outstanding electric and conductivity properties. This work suggests that by using porous graphene as the matrix and ionic liquids as the charge carrier, one can achieve high values of electromechanical strains at low voltages. The idea is based on using porosity with 2 nm in size in highly conductive graphene as channels to facilitate ion movement and hence to increase the actuation speed and magnitude. The actuators were made by infiltrating polytetrafluoroethylene (PTFE) as binder in graphene matrix and then introducing 1-ethyl-3-methylimidazolium trifluoromethanesulfonate (EMI-Tf) as ionic liquid. Electrochemical characterizations revealed that this system exhibits high ionic conductivity and forms strong electric double layers of ions. Specific capacitance as high as 150 F/g was obtained and preliminary actuation analysis suggested that this system has the potential to show strains as high as 10%.
9:00 AM - W7.77
Bandgap Engineering of Bilayer Graphene by Dual Molecular Doping
Sae byeok Jo 1 Hyun Ho Kim 1 Seong Kyu Lee 1 Hyochan Lee 1 Kilwon Cho 1
1Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractThe absence of bandgap in pristine graphene is detrimental to the device function as post-silicon electronic devices. Bilayer graphene serves a unique alternative for bandgap engineering by breaking inversion symmetry of the graphene. Here, we used controllable molecular doping on both sides of bilayer graphene to break the inversion symmetry by doping-driven perpendicular electric field. The electrical transport behavior showed gradual increment of minimum conductivity (from 2.4 to 22kOmega;) according to the doping level, giving comparably high on/off ratio at the room temperature. The optical absorption also confirmed the formation of tunable bandgap by molecular doping. Moreover, in comparison to single molecular doping, the dual doping enabled the independent control of the carrier density and the bandgap opening of the bilayer graphene. The facile independent control of doping level and resultant performance enhancement will contribute significantly to the development of graphene based next generation electronics devices.
9:00 AM - W7.78
Electronic Transport and Doping Effects in Reduced Graphene Oxide Measured by Scanning Probe Microscopy
Christopher Erkki Kehayias 1 Samuel MacNaughton 2 Sameer Sonkusale 2 Cristian Staii 1
1Tufts University Medford USA2Tufts University Medford USA
Show AbstractWe present an in-depth study of the electronic transport and sensing properties of reduced graphene oxide (rGO)-based nanosensors. rGO devices are created by dielectrophoretic assembly of rGO platelets onto interdigitated electrode arrays, which are lithographically pre-patterned on top of SiO2/Si wafers. The availability of several types of oxygen functional groups allows rGO to interact with a wide range of organic dopants, including methanol, ethanol, acetone, and ammonia. We perform sensitive Scanning Kelvin Probe Microscopy (SKPM) and Scanning Impedance Microscopy (SIM) measurements on patterned rGO electronic circuits and show that both the electrical potential and charge distribution are significantly changed when the device is exposed to these organic dopants. We also demonstrate that SKPM and SIM experiments allow us to quantify the amount of charge transferred to the sensor during chemical doping, and to spatially resolve the active sites of the sensor where the doping process takes place.
9:00 AM - W7.79
Mechanical Properties of Defective Monolayer Graphene
Ardavan Zandiatashbar 1 Gwan Hyoung Lee 2 Hamed Parvaneh 1 Sung Joo An 2 Nithin Mathew 1 Catalin R. Picu 1 James Hone 2 Nikhil Koratkar 1
1Rensselaer Polytechnic Institute Troy USA2Columbia University New York USA
Show AbstractMechanical properties of defective mono-layer graphene sheets have been studied using experimental and computational tools. In experiments, elastic properties and breaking strength of free standing monolayer defective graphene membranes are measured by nanoindentation using an atomic force microscope. Defects have been introduced by exposure of membranes to oxygen plasma. Density of defects has been quantified using Raman and Auger electron spectroscopy, and also Transmission electron microscopy. Molecular dynamics simulations have been used to investigate the mechanical properties of free standing monolayer graphene membranes using reactive force fields. The effect of boundary conditions, as well as presence of defects in form of vacancies and bonded epoxide groups has been investigated and compared to experiments. Both experiments and simulations show decrease in Young&’s modulus and strength of graphene membranes by increasing defect density. However, the change in the elastic modulus is small below a certain defect density, which shows defective graphene membrane can still carry load and stay functional in different applications like decorated carbon based MOSFETs and graphene based nanocomposites.
9:00 AM - W7.80
Ultrathin Oxide Films by Atomic Layer Deposition on Graphene
Luda Wang 1 Jonathan Travis 1 Andrew Cavanagh 1 Xinghui Liu 1 Steven Koenig 1 Pinshane Huang 2 Steven George 1 J. Scott Bunch 1
1University of Colorado Boulder USA2Cornell University Ithaca USA
Show AbstractIn this paper, a method is presented to create and characterize mechanically robust, free standing, ultrathin, oxide films with controlled, nanometer-scale thickness using Atomic Layer Deposition (ALD) on graphene. Aluminum oxide films were deposited onto suspended graphene membranes using ALD. Subsequent etching of the graphene left pure aluminum oxide films only a few atoms in thickness. A pressurized blister test was used to determine that these ultrathin films have a Young&’s modulus of 154 ± 13 GPa. This Young&’s modulus is comparable to much thicker alumina ALD films. This behavior indicates that these ultrathin two-dimensional films have excellent mechanical integrity. The films are also impermeable to standard gases suggesting they are pinhole-free. These continuous ultrathin films are expected to enable new applications in fields such as thin film coatings, membranes and flexible electronics.
9:00 AM - W7.81
Mechanical and Thermal Properties of Graphene Nanomeshes
Newton C B Mosterio 1 Alexandre F Fonseca 2
1Universidade Federal Fluminense Volta Redonda Brazil2UNESP - Univ Estadual Paulista Bauru Brazil
Show AbstractGraphene possesses excellent mechanical, thermal and electronic properties, not to mention its optical transparency and chemical stability. Much effort has been made towards the control of the graphene physical properties for technological applications. One way to achieve this control is through modifying graphene size and structure. In a search for the development of semiconducting graphene structures that can be easily produced at large scale, a new structure called graphene nanomesh, was recently derived by means of block copolymer lithography [1] and other methods [2-5]. Basically, a graphene nanomesh is a graphene structure made to possess a periodic array of nanoscale holes whose sizes and hole-to-hole distances can be used to control its overall electronic properties. Although the electronic properties of graphene nanomeshes are being intensively studied [1,2,4-6], their mechanical properties are still to be investigated. This work, then, presents the first study of mechanical, structural and thermal properties of graphene nanomeshes as function of hole and neck (i.e., smallest hole-to-hole distance) sizes, through atomistic molecular dynamics simulations. The second generation of the REBO potential will be employed to obtain the Young&’s modulus and coefficient of thermal expansion of graphene nanomeshes. [1] J. Bai, X. Zhong, S. Jiang, Y. Huang and X. Duan, Nature Nanotechnology 5, 190 (2010). [2] A. Sinitskii and J. M. Tour, J. Am. Chem. Soc. 132, 14730 (2010). [3] L. Zhang, S. Diao, Y. Nie, K. Yan, N. Liu, B. Dai, Q. Xie, A. Reina, J. Kong and Z. Liu, J. Am. Chem. Soc. 133, 2706 (2011). [4] G. Ning, Z. Fan, G. Wang, J. Gao, W. Qianc and F. Wei, Chem. Commun. 47, 5976 (2011). [5] L. Liu , Y. Zhang , W. Wang , C. Gu , X. Bai and E. Wang, Adv. Mater. 23, 1246 (2011). [6] H. Sahin and S. Ciraci, Phys. Rev. B 84, 035452 (2011).
9:00 AM - W7.82
An Improved Reactor Design for Carbon Nanotube Growth
Dane Sheppard 1 Felipe Chibante 1
1University of New Brunswick Fredericton Canada
Show AbstractWith increasing CNT usage, it becomes desirable to develop the means for greater control of CNT growth and better utilization of feedstock and catalyst. By decoupling carrier gas flow from the carbon precursor, a novel reactor provides length and diameter modulation for tunable nanotube synthesis. The reactor design allows near 100% feedstock utilization and precise termination of reaction. In current CVD systems, while the catalyst facilitates the chemistry of CNT growth, it is part of the nanotube product, and hence not a true catalyst. A reactor design is considered to demonstrate multiple nanotube growths from a single catalyst bed.
9:00 AM - W7.83
Gold-carbon Nanohybrid Foams: Synthesis, Growth Mechanisms, and Applications in Heterogeneous Catalysis
Andres Seral-Ascaso 1 Asuncion Luquin 2 Maria Luisa Sanjuan 3 Rosa Garriga 4 Mariano Laguna 2 German F de la Fuente 3 Edgar Munoz 1
1Instituto de Carboquamp;#237;mica ICB-CSIC Zaragoza Spain2Universidad de Zaragoza-CSIC Zaragoza Spain3Universidad de Zaragoza-CSIC Zaragoza Spain4Universidad de Zaragoza Zaragoza Spain
Show AbstractMetal-carbon nanohybrid foams are a new family of nanostructured carbon materials synthesized by laser ablation of selected coordination compounds.[1,2] When irradiating organometallic precursors, the resulting foams consist of metal nanoparticles embedded within amorphous carbon nanoparticles, amorphous carbon nanoparticles, and graphitic nanostructures. Moreover, our laser ablation method enables the synthesis of metal-free carbon foams from aromatic molecular precursors.[2] The present work reports on important experimental parameters toward the controlled synthesis of gold-carbon nanohybrid foams. Thus, characterization studies indicate that the composition, gold nanoparticle dilution and crystallite size, and structure of the nanohybrid foams can be tailored by suitably tuning the laser parameters used and by choosing ligands of the irradiated targets.[2,3] Bottom-up growth mechanisms based on the recombination and assembly processes of high-temperature, ionized clusters taking place within the generated plasma plume are here proposed.[3] This "laser chemistry", based on the use of molecular precursors, would enable the facile production of multifunctional nanostructured carbon materials with a range of tunable properties. The study of the physicochemical properties (such as thermal- and textural properties) and the design of chemical processing strategies for these gold-carbon nanohybrids give promising hints of their potential technological applications. Thus, alternatively to our "laser chemistry" approach, wet chemistry strategies have been designed for the gold nanoparticle decoration of metal-free carbon foams, as well as of other carbon supports such as carbon nanotubes and graphene. The performance of the synthesized gold-carbon nanohybrids as heterogeneous catalysts will be also discussed.[3] [1] E. Muñoz, M. de Val, M. L. Ruiz-González, C. Loacute;pez-Gascoacute;n, M. L. Sanjuán, M. T. Martínez, J. M. González-Calbet, G. F. de la Fuente, M. Laguna, Chem. Phys. Letters 420 (2006) 86. [2] E. Muñoz, M. L. Ruiz-González, A. Seral-Ascaso, M. L. Sanjuán, J. M. González-Calbet, M. Laguna, G. F. de la Fuente Carbon 48 (2010) 1807. [3] A. Seral-Ascaso et al., submitted.
W4: Graphene Synthesis and Characterization I
Session Chairs
John Boeckl
Young Hee Lee
Tuesday AM, November 27, 2012
Hynes, Level 3, Ballroom B
9:15 AM - W4.02
Real-time Monitoring of Stage Transitions in Graphite Intercalation Compounds on Micro-scale Level
Ayrat Dimiev 1 Gabriel Ceriotti 1 Natnael Behabtu 2 Matteo Pasquali 2 1 3 Riichiro Saito 5 James M. Tour 1 2 4
1Rice University Houston USA2Rice University Houston USA3Rice University Houston USA4Rice University Houston USA5Tohoku University Sendai Japan
Show AbstractThe stage transition, changing the number of graphene layers sandwiched between the two layers of intercalant was one of the most intriguing phenomena in structural studies of graphite intercalation compounds (GICs). While the question has been theoretically addressed, experimental studies revealed only macroscopic parameters. On the micro-scale the phenomenon remains elusive. Here we demonstrate direct real-time monitoring of the stage transitions using a combination of optical microscopy and Raman spectroscopy, which reveal the “mysterious” phenomenon with the striking clarity. The testing bed for our experiments were [(NH4)2S2O8-H2SO4]-GICs. They are characterized by the absence of the 2D band in their Raman spectra. The G band for different stage numbers was selectively enhanced by different excitation lasers. The stage transition begins on the edge of the flake and propagates toward the opposite edge. The transformation is accompanied by two distinct and independent phenomena: a) the structural deformations, and b) the color change. The structural deformation appears as a large wave moving across the sample surface, which we term the “Tidal Wave”; it indicates the leading edge of a transition front. Following the tidal wave, a front of bright rainbow colors (subfronts) propagates through the area. A new color appears when the intercalant is removed from a certain number of graphite galleries. The observations can be envisioned only in terms of the Daumas-Herold theory. Removal of intercalant from different graphite galleries occur independently to each other. While the tidal wave monotonously propagates in one direction, the subfronts can slow down for several seconds and then skip suddenly ahead or sideways. Often the subfront and even the tidal wave recede and then return and proceed in the original direction. These observations suggest that the driving force behind the stage transition is the electrochemical potential of surrounding solution. The lattice strain appears to have little influence over the kinetics of the process. The rate of the stage transition can be largely controlled by the concentration of the [(NH4)2S2O8-H2SO4] solution in which the flake is immersed. The typical rate values were in the range of 2 - 15 mu;m/s. The Raman spectroscopy shows that a large D band arises every time the tidal wave propagates through the acquisition spot. The stage transition proceeds through the intermediate state with the Raman spectrum very similar to that of amorphous carbon with a crystallite size of a few nm; thus we name it as a “pseudo-amorphous” state. Since the intercalation-deintercalation cycle is reversible (the graphene layer structure remains intact, no chemical bonds are broken), such dramatic change in the Raman spectra is caused just by transitional strain and mechanical deformations accompanying the stage transitions. Fascinating videos demonstrating stage transition will accompany the presentation.
W6/YY6: Joint Session: Low Voltage Electron Microscopy
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 210
9:15 AM - W6.06/YY6.06
TEM Imaging and Simulations of Nanostructures in Ultra-thin Materials
Wei L Wang 1 2 Efthimios Kaxiras 1 2 Robert Westervelt 1 2
1Harvard University Cambridge USA2Harvard University Cambridge USA
Show AbstractUltra-thin materials such as graphene are an important class of function materials. They also provide atomically simple and clean systems that are well-suited for transmission electron microscopy (TEM). With the latest improvement made by aberration correction, reliable interpretation of TEM images become more practical and can be well combined with image simulation and first-principles simulations to understand the configuration and dynamics of various atomic structures such as intrinsic ripples, development of defects, and chemistry at the edges. We demonstrate the usefulness of such combined study with results on various nanostructures in ultra-thin materials.
W4: Graphene Synthesis and Characterization I
Session Chairs
John Boeckl
Young Hee Lee
Tuesday AM, November 27, 2012
Hynes, Level 3, Ballroom B
9:30 AM - *W4.03
Graphene and Beyond
Philip Kim 1
1Columbia University New York USA
Show AbstractGraphene, a single atomic layer of graphite, has been provided physicists opportunities to explore an interesting analogy to the relativistic quantum mechanics. The unique electronic band structure of graphene lattice yields a linear energy dispersion relation where the Fermi velocity replaces the role of the speed of light. The exotic quantum transport behavior discovered in these materials including unusual half-integer quantum Hall effect and Klein tunneling effect. In this presentation I will discuss the exotic quantum transport behavior discovered in graphene nanostructures in the relation to the device applications beyond CMOS operation. In particular, I will present quantum carrier collimation both of which appear even at room temperature employing graphene lateral heterojunction. In addition, I will discuss the new type of material classes based on 2-dimensional van der Waal materials and their heterostructures extending the graphene based research into quasi 3-dimensional systems.
10:00 AM - W4.04
Copper Vapor-assisted Direct Synthesis of High Quality Single Layer Graphene on Amorphous SiO2
Minhyeok Son 1 Hee Cheul Choi 1
1Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractDirect formation of high quality and layer number-controlled graphene on dielectric substrates is one of the urgent issues to avoid transfer processes, by which the original graphene can be chemically and mechanically damaged. In this presentation, we report that high quality single layer graphene on SiO2/Si substrate has been successfully synthesized by chemical vapor deposition (CVD) process, during which minimal Cu vapor is remotely provided. The Cu vapors are generated by thermal diffusion from copper foil during the CVD process at designated temperature. The Cu vapors turn out to successfully catalyze the dehydrogenation of methane together with molecular hydrogen, leading to the nucleation for the graphene formation on amorphous SiO2 substrate that is located underneath the copper foil. Micro Raman spectroscopy reveals that the graphene formed on SiO2 substrate is single layer and has a comparable quality to the graphene grown on a copper foil. Raman mapping data shows the single layer graphene film covers > 95 % of graphene grown region (~ 1 mm2). With the graphene grown on a SiO2 substrate, field effect transistor (FET) devices have been directly fabricated without any transfer steps. The ambipolar behavior with Dirac point is clearly observed in the resistance versus gate voltage curve. By attempting sequential double growths, bilayer graphene is formed without destruction of preformed single layer graphene. We also have proved that this method can be extended to various other non-metal substrates including fused quartz and hexagonal boron nitride
10:15 AM - W4.05
Layer-by-layer Control of Graphene Growth on Ni-based Catalysts at Low-temperatures
Robert Weatherup 1 Bruno Dlubak 1 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom
Show AbstractThe fabrication of graphene with a controlled number of layers over large-areas is a key requirement for the exploitation of graphene in a number of applications. Chemical vapour deposition (CVD) on transition metal catalysts offers a low-cost method to address this need, however limited understanding of the underlying growth mechanism(s) means that process optimisation has thus far remained largely empirical. Large-area monolayer graphene (MLG) growth has been well demonstrated on polycrystalline Cu, however this commonly requires high temperatures (~1000°C) where catalyst sublimation becomes problematic. Attempts to grow on other polycrystalline catalysts which show larger C solubility, e.g. Ni, tend to produce inhomogeneous few-layer graphene (FLG). To address these unresolved issues we focus on Ni based catalysts which have been shown to be effective catalysts at much lower temperatures (450-600°C).1 We use in situ, time-, and depth- resolved X-ray photoelectron spectroscopy (XPS) and in-situ X-ray diffraction (XRD) to directly observe graphene formation under realistic CVD conditions.2 In particular we show that graphene growth occurs during isothermal hydrocarbon exposure and is not limited to a precipitation process upon cooling, and that (sub-surface) dissolved C plays a critical role. We consequently develop a coherent model for graphene formation and the role played by the catalyst support.3 Based on this understanding we develop a robust CVD process that for the first time yields complete MLG coverage on polycrystalline Ni catalysts at low temperatures (<600°C). We demonstrate the rational engineering of the catalyst,1 and highlight the significant parameters involved in optimising this process. Through extensive characterisation with electron microscopy, raman spectroscopy, and electrical measurements we show the graphitic quality (average D/G peak ratio <8%) of the MLG produced is similar to optimised growth on Cu catalysts at much higher temperatures. We also demonstrate the growth of MLG of reasonable quality at CMOS compatible temperatures (<450°C) offering a potential pathway for integration of graphene with existing electronic architectures. Whilst this study focusses on achieving MLG coverage, we will also discuss processing routes for achieving coverage of a chosen number of graphene layers. (1) Weatherup et al. Nano Lett. 2011, 11, 4154-60. (2) Hofmann et al. J Phys Chem C 2009, 113, 1648. (3) Weatherup et al. ChemPhysChem 2012, (Early View).
11:00 AM - W4.06
Multifunctional Crumpling and Unfolding of Large-area Graphene: Experiment, Theory and Atomistic Simulation I
Jianfeng Zang 1 Seunghwa Ryu 2 Nicola Pugno 3 Qiming Wang 1 Qing Tu 1 Markus J Buehler 2 Xuanhe Zhao 1
1Duke University Durham USA2Massachusetts Institute of Technology Boston USA3Politecnico di Torino Torino Italy
Show AbstractWhile crumpling and unfolding a piece of paper is a simple act in daily life, the technology to reversibly crumple and unfold graphene sheets of atomic thickness does not exist. Yet, a precise control of crumpling and unfolding of large-scale graphene is essential to control the properties of graphene, and hence the key to advancing important graphene-based technologies for applications in electronics, energy storage, composites, and biomedicine. Here, we present a novel approach [J. Zang, S. Ryu, N. Pugno, Q. Wang, Q. Tu, M. Buehler, and X. Zhao, submitted (2012)] that addresses this challenge to control reversible crumpling and unfolding of large-area graphene by harnessing its mechanical instabilities. We transfer large-area graphene film on an elastomer substrate that has been highly pre-strained biaxially. We observe that the graphene film develops wrinkles and delaminated buckles when the substrate is relaxed uniaxially, and become crumpled when the substrate is relaxed biaxially. If the relaxed substrate is biaxially stretched, the crumpled graphene film can be unfolded. We explain the mechanical instabilities of graphene films on substrates with continuum theory and atomistic simulation. The reversible crumpling and unfolding of graphene films further enables us to fabricate large-area conductive coatings and electrodes capable of giant stretchability (over 450%), high transparency (up to 80%), super-hydrophobicity, and tunable wettability. We further demonstrate the use of novel graphene-polymer laminates as artificial muscles.
11:15 AM - *W4.07
Graphene-based and Graphene-derived Materials
Rodney Ruoff 1
1University of Texas, Austin Austin USA
Show AbstractGraphene-based materials are promising because of their electronic and thermal transport, mechanical properties, high specific surface area, that they can act as an atom thick layer, barrier, or membrane, among other reasons. (Our micromechanical exfoliation approaches [1,2] conceived of in 1998 yielded multilayer graphene and one paper described in detail how monolayer graphene could be obtained [1]). Three main research areas of our group are: (i) Growth of large area graphene on metal substrates, characterization and physical properties, and studies of devices having graphene as a central component; (ii) Generation, study, and use of graphene-based platelets (typically derived from graphite oxide) including as dispersed in liquids, and powders derived from such colloids or generated by microwave or thermal treatment of graphite oxide; (iii) Generation and study of new types of carbon derived from graphene-based precursors, such as “activated microwave expanded graphite oxide”, or ‘aMEGO&’[3]. I will attempt to cover a variety of research projects underway in my group at this time and also use this opportunity to describe what I think are important new directions in carbon and first row element research, in the next 10-20 years. Support of our work by the W. M. Keck Foundation, NSF, DARPA ‘iMINT&’, DARPA ‘CERA&’, ONR, SWAN NRI, ARO, AEC, SRC, Graphene Materials LLC, and Graphene Energy, Inc., is appreciated. 1. Lu XK, Yu MF, Huang H, and Ruoff RS, Tailoring graphite with the goal of achieving single sheets, Nanotechnology, 10, 269-272 (1999). 2. Lu XK, Huang H, Nemchuk N, and Ruoff RS, Patterning of highly oriented pyrolytic graphite by oxygen plasma etching, Applied Physics Letters, 75, 193-195 (1999). 3. Zhu, Yanwu; Murali, Shanthi; Stoller, Meryl D.; Ganesh, K. J.; Cai, Weiwei; Ferreira, Paulo J.; Pirkle, Adam; Wallace, Robert M.; Cychosz, Katie A.; Thommes, Matthias; Su, Dong; Stach, Eric A.; Ruoff, Rodney S. Carbon-Based Supercapacitors Produced by Activation of Graphene. Science 332, 1537-1541 (2011).
11:45 AM - W4.08
Controlling Orientation, Edge Geometry and Thickness of High Quality Large-area CVD Graphene
Adrian Murdock 1 Antal Koos 1 Benjamin Britton 1 Lothar Houben 2 Tim Batten 3 Tong Zhang 3 Angus J Wilkinson 1 Rafal Dunin-Borkowski 2 Christina E Lekka 4 Nicole Grobert 1
1University of Oxford Oxford United Kingdom2Juelich GmbH Juelich Germany3Renishaw Plc Wotton-under-Edge United Kingdom4University of Ioannina Ioannina Greece
Show AbstractThe industrial exploitation of chemical vapour deposition (CVD) graphene crucially depends on the ability to generate large-area sheets with selected thicknesses, predefined domain orientations, edge geometries, and grain boundaries which govern the electronic structure, chemical activity, and mechanical strength. While attention has been directed at understanding the CVD growth mechanism on polycrystalline Cu, the precise control of CVD graphene remains unsolved. Here we show, both experimentally and theoretically, the implementation of structurally defined Cu substrates in conjunction with low-pressure CVD to generate high quality, tailored graphene with controlled shape, orientation, edge geometry, thickness, and quality. For example, the substrate&’s crystallographic orientation can be exploited to preferentially orient domain edges parallel to the <110> direction while generating high quality single layer graphene on Cu(111) and bilayer graphene on Cu(001). This research provides a new avenue towards the controlled production of high quality large-area graphene sheets for implementation in transparent conducting electrodes, sensors, and nanoelectronic device applications.
12:00 PM - W4.09
Direct Formation of Graphene on Non-metal Substrate by Assistance of Cu Vapor
Yu-Ze Chen 1 Hung-Chiao Lin 1 Yu-Lun Chueh 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractGraphene, a two-dimensional structure, consists of sp2 bonding with hexagonal lattice and has a lot of superior properties, such as low electrical conductivity, high thermal conductivity, strong mechanical property, and excellent optical property. Lots of approaches to synthesize grapehene had been employed, such as mechanical exfoliation via plastic tape, reduction of graphene oxide, epitaxial growth from SiC substrate. However, there still have some disadvantages and restrictions among these approaches, which limit practical application of graphene. In 2009, it was first time to propose the formation of graphene with large area on the Cu via CVD approach, which was considered as a clean, low-cost, and reliable process. However, detailed formation mechanism of graphene via the CVD process was still unknown, which motivates us to probe the mechanism and to path the way of improving the quality of graphene synthesized by the CVD process. In this study, we found the Cu vapor clusters, which are moved in the hot zone of furnace during the formation process, play an important role on the formation of grapheme. By taking the Cu vapor as the mediation layer, we are able to systematically investigat the formation process of graphene and provide a whole new and comprehensive view point at the physical and chemical phenomena. Finally, we can successfully form the graphene on the non-metal substrate such as Si/SiO2 wafer to device application without any transfer processes.
12:15 PM - W4.10
Large-scale Solution Synthesis of Narrow Graphene Nanoribbons with a Large Electronic Bandgap
Timothy H. Vo 1 Mikhail Shekhirev 1 Donna Kunkel 2 Peter Wilson 1 Martha D. Morton 1 Axel Enders 2 3 Alexander Sinitskii 1 3
1University of Nebraska - Lincoln Lincoln USA2University of Nebraska - Lincoln Lincoln USA3University of Nebraska - Lincoln Lincoln USA
Show AbstractGraphene, a two-dimensional carbon allotrope, is often considered as a complement or even replacement for silicon in many electronics applications. However, the absence of an electronic bandgap in graphene prevents its use in logic devices. According to theoretical studies, a bandgap compared to that in silicon (1.1 eV) could be found in narrow graphene nanoribbons (GNRs) that have atomically precise armchair edges and widths less than 2 nm. Different top-down approaches, such as a combination of electron-beam lithography and dry etching, sonochemical method, nanowire lithography, and unzipping of carbon nanotubes, typically yield ribbons with widths > 10 nm and have limited control over the edges structure in GNRs. Here we report a novel bottom-up approach for gram quantities of narrow GNRs. The resulting ribbons are less than 2 nm wide, have atomically precise armchair edges, and could be up to a few µm long. These GNRs could be conveniently deposited from solution on any substrate, such as Si/SiO2, mica and Au(111), for further studies. The GNRs were characterized by different techniques, including NMR, UV-vis-NIR and Raman spectroscopy, XPS, EDX, SEM, AFM and STM. According to our preliminary data, these GNRs have a bandgap of about 1.4 eV, which is comparable to that in silicon (1.1 eV). These data suggest that GNRs obtained by this novel synthetic approach could be promising for applications in field-effect transistors with high on-off ratios. Also, since these GNRs could be synthesized in gram quantities and at a very high yield, they could be used for bulk applications, including coatings, composites and photovoltaic devices.
12:30 PM - W4.11
Activation Energy Paths for Graphene Nucleation and Growth on Cu
Cecilia Mattevi 1 Hokwon Kim 1
1Imperial College London London United Kingdom
Show AbstractChemical vapor deposition of graphene on copper has emerged as one of the most promising synthesis routes for a large scale production of high quality graphene. However, the electrical and mechanical properties of CVD graphene appear to be limited by its polycrystalline nature. Here, we study the physicochemical mechanisms underlying the nucleation and growth kinetics of graphene on copper [1], providing new insights necessary for the engineering synthesis of wafer-scale single crystals. The analysis at different growth temperatures has identified two distinct nucleation mechanisms that involve the competition between the capture of carbon adatoms by the growth of a supercritical nucleus, versus the desorption of carbon adatoms that leads to increase the graphene grain size. As the energetics of these phenomena varies with temperature, the nucleation activation energies can span over a wide range (1-3 eV) leading to a rational prediction of the individual nuclei size and density distribution. The growth-limiting step was found to be the attachment of carbon-adatom species to the graphene edges, and this was independent of the Cu crystalline orientation. [1] H.Kim, C.Mattevi, C.M. Reyes,et al. ACS Nano 2012, 6, 3614-3623.
12:45 PM - W4.12
Magnetotransport Dependence on the Field Magnitude and Direction in Large Area Epitaxial Multilayer Graphene
Peite Bao 1 Wenxian Li 2 Wai Kong Yeoh 3 4 Xiangyuan Cui 3 4 Jung Ho Kim 2 Yong-Mook Kang 5 Shi Xue Dou 2 Simon P. Ringer 3 4 Rongkun Zheng 1
1The University of Sydney Camperdown Australia2The University of Wollongong Wollongong Australia3The University of Sydney Camperdown Australia4The University of Sydney Camperdown Australia5Dongguk University Seoul Republic of Korea
Show AbstractOwing to its peculiar band dispersion, graphene can exhibit a wealth of anomalous transport phenomena, such as unconventional quantum Hall effect, Klein tunneling, and weak localization (WL) and weak antilocaliztion (WAL) of electrons. However, the feasibility of large-scale production of graphene sheet stands as a main obstacle between theoretical study and real-life application. Recently, Chemical Vapor Deposition (CVD) patterned large-area graphene sheet, associated with the technique transferring graphene onto the arbitrary substrates, is considered a significant improvement in graphene fabrication. We report the magneto-transport properties of very large-area graphene sheet fabricated by CVD method on Nickel layers and transferred to a stretchable PET substrate. The total area of the sample is substantially large up to few square centimeters (with a tested area up to tens of square millimeters), such epitaxial multilayer graphene sample is of obvious important to study for practical application. Negative longitudinal magnetoresistance (MR) effect caused by weak localization (WL) of electrons in graphene can be clearly seen at 2K, and a transition from negative to positive MR was observed as the magnetic field increases. While only positive MR effect was found at 300K. However, transverse resistivity is found to be linear to the external field, which indicates that the Hall Effect stays unaffected to the WL correction to the MR. Remarkable similarities and differences (such as lower carrier mobility) between our sample and microscopic ideal 2-dimensional graphene were also discussed. Furthermore, angular dependence of both longitudinal and transverse resistivity was measured in order to test the interplay of the WL and magnetoresistance effects in graphene sample. Such experimental was carreied out with a horizontal sample rotator along the current axis under a magnetic field up to 1 T. Quantificationally simulation with good agreement between theory and experiment was successfully achieved.
Symposium Organizers
John J. Boeckl, Air Force Research Laboratory
Krzysztof Koziol, University of Cambridge
Wonbong Choi, Florida International University
Young Hee Lee, "Sungkyunkwan University Advanced Institute of Nanotechnology"
Jud Ready, Georgia Tech Research Institute
Symposium Support
Agilent Technologies
Air Force Research Laboratory
AIXTRON SE
Angstrom Engineering Inc.
Asian Office of Aerospace RD
Asylum Research
Bluestone Global Tech, Inc.
European Office of Aerospace RD
Graphene Platform
Graphenea Nanomater
W9: Graphene Modification and Processing
Session Chairs
Wednesday PM, November 28, 2012
Hynes, Level 3, Ballroom B
2:30 AM - W9.01
Preparation and Surface Modification of Few Layer Graphene for Application in Catalysis
Revathi Bacsa 1 Bruno Machado 1 Philippe Serp 1 Iann Gerber 2
1Toulouse University Toulouse France2Toulouse University Toulouse France
Show AbstractDue to their remarkable physical properties, such as high electronic and thermal conductivity along with excellent mechanical strength, graphene and graphene based hybrid materials have attracted a lot of attention in recent years. Graphene sheets decorated with metal nanoparticles are typical examples of emerging metal-carbon composites that currently attract special research efforts due to their enhanced potential for catalytic applications. Despite rapid advances, large quantities of high purity graphene with controlled thickness are not yet widely available. In this context, we present here, new results on the multi gram scale synthesis of few layer graphene with controlled thickness, its characterization using microscopic and spectroscopic techniques and the preparation of graphene-metal hybrid materials. Few layered graphene (FLG) was prepared by ethylene CVD at temperatures in the 600-750°C. TEM and Raman spectra showed that the sample contained few layered graphene and the thickness as determined by the broadening of the x-ray powder diffractograms ranged from 0.5-8 nm depending on the preparation conditions. Electron diffraction confirmed the presence of high quality crystalline layers of graphene. Metal-graphene composites were prepared by deposition from solution after suitable surface modification to anchor nanosized metal particles on the graphene layer. Using density functional theory, we have attempted to model the decomposition of the ethylene precursor and the initial steps of graphene nucleation. The synthesis, metal deposition and a possible growth mechanism for graphene by CVD will be discussed in the communication.
2:45 AM - W9.02
Hydrogenation-assisted Self-assembly of Graphene
Shuze Zhu 1 Teng Li 1 2
1University of Maryland College Park USA2University of Maryland College Park USA
Show AbstractGraphene&’s two-dimensional structure exposes its entire volume to its surrounding, rendering highly amenable surface chemistry. Tremendous efforts have been placed on the chemical functionalization of pristine graphene by adsorbing foreign atoms, molecules and functional groups on its surface. Among various forms of chemical functionalization, hydrogenation of graphene (e.g., attaching atomic hydrogen to the carbon atoms in graphene) receives the most attention. Hydrogenation of graphene changes the hybridization of carbon atoms from sp2 into sp3. As a result, the 2D atomic structure of pristine graphene is distorted into 3D locally at each adsorbed hydrogen atom. As a result, when a pristine graphene is hydrogenated, it is found that the resulting graphene is rippled. The rippling can be explained by the local distortion of the atomic structure of graphene due to hydrogenation. These observations open up the possibility to tailor the morphologic properties of graphene in a bid to facilitate the formation of nanostructures of interest. In this work, we demonstrate the hydrogenation-assisted self-assembly of graphene. In particular, we focus on the hydrogenation-assisted formation of a carbon nanoscroll (CNS) from a freestanding graphene, using molecular dynamics simulations. We show that hydrogenation helps overcome the energy barrier to form an overlap in the non-hydrogenated part in the graphene. Once that energy barrier is overcome, the graphene can spontaneously roll up into a CNS. The successful formation of a CNS depends on the width of the free standing graphene and the hydrogenation aspect ratio. A phase diagram obtained from this study elucidates the key parameters that govern the successful scrolling of graphene into CNSs. With the ever maturing nanopatterning technique to hydrogenate graphene at high precision, the hydrogenation-assisted self-assembly of graphene holds great potential leading to a feasible fabrication technique of high quality and well controlled graphene-based novel nanostructures.
3:00 AM - *W9.03
Graphene Terahertz Lasers: Injection versus Optical Pumping
Taiichi Otsuji 1 Akira Satou 1 Maxim Ryzhii 2 Vladimir Mitin 3 Victor Ryzhii 1
1Tohoku University Sendai Japan2University of Aizu Aizu Wakamatsu Japan3University at Buffalo, SUNY Buffalo USA
Show AbstractIn the research of modern terahertz electronics, development of compact, tunable and coherent sources operating in the terahertz regime is one of the hottest issues. Graphene, a monolayer carbon-atomic honeycomb lattice crystal, has attracted attention due to its peculiar carrier transport properties owing to the massless and gapless energy spectrum. In this presentation we demonstrate that graphene is one of the best materials for new types of terahertz lasers as optical and/or injection pumping of graphene can exhibit negative-dynamic conductivity in the terahertz spectral range. We analyze the formation of nonequilibrium states in optically pumped graphene layers and in forward-biased graphene structures with lateral p-i-n junctions and consider the conditions of population inversion and lasing. The model used accounts for intraband and interband relaxation processes as well as deviation of the optical phonon system from equilibrium. Optical pumping suffers from a significant heating of both the electron-hole plasma and the optical phonon system, which suppresses the formation of population inversion. In the graphene structures with p-i-n junction, the injected electrons and holes have relatively low energies, so that the effect of cooling can be rather pronounced. The latter provides a significant advantage of the injection pumping in realization of graphene terahertz lasers. We benchmark graphene as a prospective material for injection-type terahertz lasers. In terms of compact solid-state lasers in the terahertz range, quantum cascade lasers (QCLs) are the most promising current-injection-type light-source devices providing sufficient output powers beyond 10&’s of mW with fine linewidth (~kHz) at frequencies over the entire terahertz frequency range. The QCL utilizes the intersubband transitions in the quantum wells of the superlattices for terahertz lasing. Carrier recycling schemes in its operation principle can multiply the quantum efficiency by the cascading number of the quantum wells. However it suffers from phonon-limited decoherency preventing room-temperature operation. Compared to such state-of-the-art terahertz lasers as QCLs, semiconductor Raman lasers, and/or p-Ge lasers, the graphene injection lasers may have substantial advantages serving room temperature operation as well as relatively high quantum efficiency (~1) and practically high output power (~mW), all of which owe to (i) the symmetric gapless linear band structure that does not require any specific structure for carrier depopulation that is mandatory in QCLs, (ii) extremely high optical phonon energy, resulting in ultrafast carrier energy relaxation making ease of population inversion, and (ii) extremely long carrier momentum relaxation times.
3:30 AM - W9.04
Hierarchical Graphene-based Macroassemblies
Marcus A Worsley 1 Matthew D. Merrill 1 Jonathan R. I. Lee 1 Sergei O Kucheyev 1 Harris E. Mason 1 Brian P Mayer 1 James Lewicki 1 Arne Wittstock 1 Michael Stadermann 1 Joe H. Satcher 1 Theodore F. Baumann 1 Juergen Biener 1
1Lawrence Livermore National Laboratory Livermore USA
Show AbstractGraphene has shown the potential to significantly impact a number of different technologies, including energy storage. Properties such as high surface areas and electrical conductivity make it a promising material for hydrogen storage, battery, and ultra capacitor applications. One route to realizing the full potential of graphene in energy storage applications is the assembly of three-dimensional macroscopic graphene networks that retain the properties of individual graphene sheets. Herein we report the assembly of graphene sheets into a hierarchical architecture with length scales extending from the nanoscale to the macroscopic regime. These graphene macroassemblies are formed using sol-gel chemistry to cross-link suspensions of single layer graphene oxide (GO). The hierarchical structure possesses a number of novel properties including mechanical stiffness (>50 MPa) and electrical conductivities (~102 S/m) orders of magnitude higher than previously reported, surface areas that approach the theoretical values expected for a single graphene sheet (~2500 m2/g), and extraordinarily large mesopore volumes (greater than 5 cc/g). Finally, exceptional energy storage behavior was observed. The graphene-based electrode simultaneously exhibited high energy (~102 Wh/kg) and power densities (~105 W/kg) in both organic and inorganic electrolytes. The details of the synthesis and characterization of these novel graphene macroassemblies will be presented. This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DEAC52-07NA27344.
4:15 AM - W9.05
High Performance Devices Based on Large Scale Triphenylene Assembled Graphene and Interface-engineering
Kun Chen 1 Xi Wan 1 Jian-bin Xu 1
1The Chinese University of Hong Kong Hong Kong Hong Kong
Show AbstractIn this presentation, we report on our understanding of graphene/substrate interface properties and engineering of bottom-gated, large-scale triphenylene assembled graphene transistors by applying octadecyltrimethoxysilane (OTMS) self-assembled monolayers (SAM) onto the dielectric surface. To circumvent the challenge that at the initial stage of CVD growth of graphene, the isolated carbon monomers will form defective carbon clusters with pentagons, triphenylene (C18H12) (pentagon-free with only C and H), as the solid precursor, was used for high quality and large scale graphene synthesis. Transport measurements performed on back-gated graphene field-effect transistors (GFETs) with large channel lengths (~25µm) show a carrier mobility up to ~ 5090 cm2/(Vs) on SiO2/Si substrate at room temperature under vacuum. Furthermore we show that, in virtue of the ultrasmooth SAM surface, reduced interfacial impurity scattering, and attenuated surface polar phonon scattering, the octadecyltrimethoxysilane (OTMS) passiviated SiO2 interface consistently improves the graphene FET carrier mobility up to ~ 9080 cm2/(Vs), whose graphene active layer has been grown with triphenylene precursor. This makes it promising for practical applications. In addition, compared to the devices without interface engineering, triphenylene-derived graphene FETs with the OTMS-SAM exhibit a remarkable gate-dependent field effect mobility. Detailed analyses reveal that the different scattering mechanisms affect the mean free path of hole for graphene devices on bare SiO2 substrates (charged impurity scattering) and OTMS functionalized SiO2 substrates (resonant scattering), respectively. This renders the significantly different electrical performances of GFETs at room temperature. Acknowledgement: The work is in part supported by Research Grants Council of Hong Kong, particularly via Grants Nos. CUHK2/CRF/08 and CUHK4179/10E. J. B. Xu thanks the National Science Foundation of China (Grants Nos. 60990314 and 60928009) for support.
4:30 AM - *W9.06
Low Temperature Plasma-grown Carbon Nanostructures
Meyya Meyyappan 1
1NASA Ames Research Center Moffett Field USA
Show AbstractChemical vapor deposition (CVD) has been a popular technique for growing carbon nanostrucutres such as carbon nanotubes (CNTs) and graphene, particularly for patterned and unpatterned growth on substrates to enable fabrication of devices, sensors etc. Low temperature plasma-enhanced CVD has also emerged as an alternative for carbon nanostructures growth in device applications. First, we report our recent results on vertical graphene layers grown by PECVD with details on growth parameters, characterization. These structures are readily grown on silicon, nickel, copper and several other substrates. Second, we report successful wafer-scale integration of PECVD-grown carbon nanofibers as nanoelectrodes in biosensors and neural interface. The author acknowledges Jessica Koehne, Emily Rand, Mike Marsh, Kendall Lee, Mike Oye, Keivan Davami, Mehrdad Shaygun and Jeong-Soo Lee for their contributions.
5:00 AM - W9.07
Patterning Sub-10 nm Half-pitch Graphene Nanoribbons Using Directed Self-assembly of Block-copolymers and Application in Multi-channel Transistors
Xiaogan Liang 1 Sungjin Wi 1
1University of Michigan Ann Arbor USA
Show AbstractOne of the most exciting and important research directions of graphene and other relevant atomically layered materials is to investigate how nanopatterning technologies can be adapted, developed, and refined to pattern 2D graphene films into nanostructures, aiming to obtain the desirable electronic characters and functionalies that could significantly leverage the unique property or potential of graphene.[1-4] In this work, we developed an upscalable nanolithographic method for patterning orderly arranged sub-10 nm half-pitch graphene nanoribbons (GNRs) and fabricated field-effect transistors (FETs) bearing such densely arranged GNRs. The nanofabrication method combines nanoimprint lithography (NIL), directed self-assembly of block copolymers, and plasma etching. Our work shows that the standard variation of the ribbon width (3σ value) among densely arranged GNRs strongly depends on the processing condition of directed self-assembly of block copolymers, and it can significantly affect the ON/OFF current ratio of the FETs bearing multiple GNR channels. A relatively large 3σ variation of the ribbon width can result in a poor ON/OFF current ratio, which is attributed to the non-synchronization of OFF states of multiple nonuniform GNRs. Through the processing optimization, we are able to create 8 nm hp GNRs with 3σ width variation less than 3 nm. The FET bearing 200 such GNRs exhibits a high ON/OFF current ratio > 60, which is well above that of the control FET with an unpatterned graphene channel. The nanofabrication method presented in this work could be further developed to realize nanomanufacturing of high-quality graphene nanostructures for future scale-up nanoelectronic applications. In addition, our work provides critical insights for the further optimizing the electronic properties of graphene nanostructures. [1] A. K. Geim, Science 2009, 324, 1530. [2] M. Y. Han, B. Ozyilmaz, Y. B. Zhang,P. Kim, Phys Rev Lett 2007, 98, 206805. [3] X. L. Li, X. R. Wang, L. Zhang, S. Lee,H. J. Dai, Science 2008, 319, 1229. [4] X. G. Liang, Y. S. Jung, S. W. Wu, A. Ismach, D. L. Olynick, S. Cabrini,J. Bokor, Nano Lett 2010, 10, 2454.
5:15 AM - W9.08
Determination of Efficient Transfer Process for Chemical Vapor Deposition Grown Graphene
Mohamed Tarek Ghoneim 1 Casey Smith 1 Muhammad Hussain 1 Salman Bin Inayat 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractIntense research on graphene has been on the rise for last few years. Chemical Vapor Deposition (CVD) is a common method used for growing large area continuous graphene on a metal surface as a catalyst for graphene nucleation. This adds a necessary transfer step to the target substrate ultimately desired for graphene devices fabrication. Interfacing with graphene is one challenge in preserving the promising high mobility especially with gate dielectrics. This initiated the motivation for studying the effect of intermediate interfaces imposed by transfer processes. In this work, direct transfer, transfer using PMMA and transfer without PMMA are studied and compared in terms of the relative defectivity, discontinuities and surface roughness which correlates with degradation in mobility. Multilayer graphene was grown on 25 µm thick copper foils inside a high temperature furnace. The system was purged at ambient pressure in N2 for 5 minutes. Then the temperature was ramped up to 1000 oC in 200 sccm Ar and 500 sccm H2 for 30 minutes. Then the system was stabilized for 5 minutes at 1000 oC in 500 sccm H2. 50 sccm CH4 were added during the last 2 minutes for growth. Growth was terminated by N2 quench to room temperature. Raman spectroscopy is performed on grown graphene sample to confirm few layers (>3) at widely spaced spots and mapping is done for a 100 x 100 µm2 area. Afterwards the sample is cut into three pieces and transferred to 300 nm SiO2 on Si. Piece ‘A&’ had PMMA in anisole (2.5% by mass) added. All three pieces are floated on HNO3: DI water (1:3 concentrations by volume) for 3 minutes to etch graphene on the backside of the copper foil. Then all pieces are floated on Fe3(NO3)3 granules: DI water (1:2 by mass) for 1 hour to etch the copper foil. The Iron Nitrate solution is then displaced with DI water. A vacuum holder is used to hold the SiO2 wafer and brought in contact with the floating Graphene, sample ‘B&’, from the top side. For Samples ‘A&’ and ‘C&’, the SiO2 wafers are immersed in the DI water container and carefully lifted capturing the floating Graphene sheet. All three samples are mildly titled to drain trapped DI water and help Graphene adhere to the SiO2 substrate and left to dry for 5 hours. Finally, sample ‘A&’ is rinsed in Acetone to remove the PMMA. Raman spectroscopy is done for the three pieces and the comparison is carried out by comparing the ratio of D/G peaks over a mapping area of 20 x 20 µm2 using 5 seconds exposure time, 4 averaging number, 50x lens and a 532.2 nm laser beam. SEM images at 1000x are taken for the three samples for a 200 x 200 µm2 area to study discontuities. AFM measurements are taken for the three samples for a 50 x 50 µm2 area to study surface roughness. The results are summerized in added table.
5:30 AM - W9.09
Soluble Graphene Sheet Grafted with Polypyridylruthenium Derivatized Polystyrene as Light Harvesting Antenna Prepared via Surface Initiated ATRP
Zhen Fang 1 Akitaka Ito 1 Hanlin Luo 1 Darlene Taylor 2 Thomas Meyer 1
1University of North Carolina at Chapel Hill Chapel Hill USA2North Carolina Central University Durham USA
Show AbstractA nanohybrid of reduced Graphene Oxide grafted with polypyridylruthenium functionalized polystyrene was synthesized through a through a surface initiated ATRP method. Graphene is designed as the electron acceptor endcaping the polystyrene backbone, while pedant Ru complexes work as the light harvesting antennae and inject electrons to the Graphene upon irradiation. This nano-hybrid show good solubility in polar solvents, making it potential candidate for optoelectronic devices through a solution processable strategy. Moreover, due to a long lifetime of metal-to-ligand charge transfer state of Ru complex (~1 µs), it is able to facilitate the exciton transport and charge separation in active layer, which is a key characteristic for photovoltaic devices.
5:45 AM - W9.10
A Novel Dry Transfer Process for High-quality Graphene Film onto Various Substrates
Zhaoying Hu 1 Dhiraj Sinha 1 Ji Ung Lee 1 Michael Liehr 1
1State University of New York, Albany ALBANY USA
Show AbstractGraphene is the exemplary 2-D material with very attractive electronic, optical and mechanical properties. The huge interest in graphene led to rapid progress in understanding this material. However, obtaining high-quality graphene films remains a key challenge. Large-area chemical vapor deposition (CVD) on Cu foil shows most promise for growing high quality monolayer graphene. To isolate the graphene, water based wet transfer processes are usually used, but inevitably introduce contamination which degrades its performance. Efforts have been made to reduce the moisture or residuals trapped between graphene and substrate by using a thermal release tape based dry transfer. The dry transfer process requires uniform pressure to make a good contact of the comparatively rigid tape with the graphene grown on a microscopically rough surface of the copper substrate. Hence, tape residue and cracks are often seen on graphene after transfer. Here we report the development of a novel dry transfer of CVD graphene onto a variety of substrates. The transfer process starts with spinning PMMA on as-grown graphene, followed by applying a thermal release tape in which a window has been cut. The copper substrate is etched in ammonia persulfate. The suspended graphene/PMMA withinn the tape window is cleaned with DI water and dried. The complete stack is then applied onto the substrate. On a smooth surface such as thermal SiO2, graphene can be brought into intimate contact with SiO2after heating to 125 °C to release the tape. For other surfaces, such as deposited CVD oxide, the substrate was ramp heated on a hot plate slowly from 100°C to 150°C and kept at 150°C for 5 minutes. PMMA then was removed by acetone. Raman and AFM measurement were taken to confirm the high quality of graphene film. Electrical measurements of graphene devices show performance of dry-transferred graphene that is superior compared to wet transfer. Average mobilities of 6000 cm2V-1s-1 and high as 7500 cm2V-1s-1 were achieved with in-situ annealing at 115°C in vacuum of 10-5 Torr.
W10: Poster Session: Graphene II
Session Chairs
Wednesday PM, November 28, 2012
Hynes, Level 2, Hall D
9:00 AM - W10.01
Graphene Anode Integrated High-performance Mico-sized Microbial Fuel Cell
Justine Mink 1 Muhammad Hussain 2 Jhonathan Rojas 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia2King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractMicrobial Fuel Cells (MFCs) are devices that harness the naturally occurring power generated by electrogenetic bacteria to produce energy in a clean and renewable way. Wastewater is used as the fuel source and the power is regenerated stably to peak power outputs at every insertion of liquid. Micro-sized MFCs can be used as portable power supplies, on chip power sources, or as testing vehicles to optimize materials and conditions for implementation into larger scale MFCs. We have developed a variety of micro-sized MFCs ranging from devices directly on a silicon chip to flexible mobile designs with volumes varying from 1-100 micro-liters (mu;l). The basic requirements of an MFC are a conductive biocompatible anode, typically carbon, where the bacteria will attach and a conductive cathode with an electron acceptor, often either ferricyanide or oxygen. Our previously published device had 1.25 mu;l volume with carbon nanotube anode separated into two chambers by a proton exchange membrane. The carbon cloth cathode chamber was filled with ferricyanide as the electron accepter (Mink et al, Nanoletters 2012). Recently, we have tested multi-layered graphene on copper foil as a thin anode in a 25 mu;l structure using oxygen as the electron acceptor. Graphene is expected to perform well as an anode due to its reported high electrical conductivity and large surface area. The graphene on copper foil device was tested alongside a control device with just copper foil as comparison. Both MFCs were fed 1g/L acetate solution in place of wastewater so that accurate comparisons could be made. Voltage was measured continuously with peaks occurring immediately after inoculation with acetate liquid. Polarization curves were measured with resistances ranging from 0 to 1Mohm resulting in peak power densities by volume of 12 W/m3 and corresponding current densities of 70 A/m3 (compared to 4 W/m3 and 43 A/m3 in the control copper foil.) Overall peak current densities for the graphene on copper foil (not corresponding to peak power) reached 164 A/m3 while the copper foil only achieved 44 A/m3. Interestingly, estimates of internal resistance show the graphene copper cell having 4 times larger resistance than the copper foil cell. As all conditions were kept equal, this is likely due to not having an optimal contact between the multi-layer graphene and the circuit. Contact engineering related to graphene is a challenging area requiring further attention. The graphene on copper foil anode is the first of its kind to use pure graphene as anode material instead of blending it with other anode materials (like carbon cloth) to produce a hybrid graphene anode. Producing 3 times larger power densities than the control copper foil, the use of graphene as an MFC anode holds excellent potential to improve the performance of future MFCs.
9:00 AM - W10.02
Impact of Interlayer Interactions in Reduced Graphene Oxide-ionic Liquid Composites on Ultracapacitor Performance
Muge Acik 1 Natis Shafiq 1 Daniel R. Dreyer 2 Juan Juarez 1 Christopher W. Bielawski 2 Yves J. Chabal 1
1The University of Texas at Dallas Richardson USA2The University of Texas at Austin Austin USA
Show AbstractReduced graphene oxide (rGO), a candidate for a high surface area carbon material with tunable nanoporosity, has been studied extensively. However, intercalation of ionic liquids (ILs) with rGO has not been explored yet to fabricate high performance electrochemical energy storage devices, namely ultracapacitors. This study therefore targets fabricating rGO-IL based ultracapacitors to search the feasibility and understand the effect of chemical interactions between rGO and ILs on the ultracapacitor performance. Impact of the chemical nature of synthesized ILs has been investigated for the effect of cations (ammonium, piperidinium, pyrrolidinium and imidazolium derivatives) using a common anion, bis(trifluoromethanesulfonyl)imide. This is to evaluate the role of chemical interactions tailored by the change in the interlayer chemistry for improving the electrochemical and thermal stability of ultracapacitors. To correlate the structure-property relation, we studied four different ILs, namely, (N-methyl-N-octylpyrrolidinium bis(trifluoromethanesulfonyl)imide), N-octyl-N,N,N-tributylammonium bis(trifluoromethanesulfonyl) imide, 1-butyl-3-methylimidazolium bis(trifluoromethane-sulfonyl)imide and N-octyl-N-methylpiperidinium bis(trifluoromethanesulfonyl) imide). GO-IL composites were prepared by intercalating these ILs in the interlayer of as-synthesized GO in different solvent systems (deionized water or propylene carbonate).Powder X-Ray Diffraction (PXRD) analysis showed that original 2theta; of GO (11°) was lowered to 10.4-9.2° as a result of efficient IL intercalation. Infrared absorption spectroscopy (IRAS) studies revealed that covalent functionalization of GO by the ILs did not occur at room temperature indicating that these ILs intercalated non-covalently. The role of cations in GO-IL composites was monitored by IRAS during thermal annealing to investigate the chemical interactions between rGO and the ILs. IRAS and XRD studies showed that ILs with bulky and highly substituted ammonium-based cations promoted defect formation in the graphite basal plane during annealing treatment at 60-500°C. Therefore, ILs with long alkyl carbon chains are found not to play a role for a covalent functionalization during annealing and thus promoted thermal exfoliation. Indeed, N-methyl-N-octyl-pyrrolidinium and 1-butyl-3-methylimidazolium cations helped to stabilize GO&’s functional groups, which prevented removal of oxygen groups at <400°C. The anion and cation moieties of the ILs also influenced the decomposition temperatures studied by thermogravimetric analysis (TGA). For instance, N-methyl-N-octylpyrrolidinium bis(trifluoro-methanesulfonyl)imide and 1-butyl-3-methyl-imidazolium bis(trifluoromethanesulfonyl)imide expanded rGO layers upon annealing at 150°C and 300°C. Overall, optimization of chemical interactions in rGO-IL composites have been shown to improve the rGO-IL based ultracapacitor performance.
9:00 AM - W10.04
Graphene Oxide Gate Dielectrics for Graphene Field Effect Transistors on Plastic Substrates
Seoung-Ki Lee 1 2 Ho Young Jang 3 SukJae Jang 1 2 Euiyoung Choi 1 Byung Hee Hong 4 Jaichan Lee 1 Sungho Park 3 Jong-Hyun Ahn 1 2
1Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan Univ Suwon Republic of Korea3Sungkyunkwan Univ Suwon Republic of Korea4Seoul National University Seoul Republic of Korea
Show AbstractThere has been a strong demand for finding the suitable gate dielectric which can optimize the excellent electric, mechanical and optical property of graphene. Despite the development of gate insulator materials that can improve the device performance, an excellent insulating property and flexibility were not compatible under high external stress with optical transparency. Here, we report the fabrication of high-performance, flexible graphene-based field-effect transistors using a graphene oxide (GO) as a gate insulator. The dielectric constant of GO film which was formed by Langmuir-Blodgett method was 3.1 at 77K and a low leakage current (17mA/cm2) below breakdown bias (1.5 × 106 V/cm). The graphene transistor using GO gate insulator shows a hole and electron mobilities of ~300 and 250 cm2/Vs, respectively. In addition, the stable performance under bending configuration (Rc : 4.4mm) of GO insulated graphene TFTs on the plastic substrate suggest promise for the use of this method to graphene flexible electronics.
9:00 AM - W10.05
Integration of Al2O3 Tunnel Barriers in Vertical Graphene-based Spin Valves
Bruno Dlubak 1 Marie-Blandine Martin 2 3 Robert S. Weatherup 1 Piran R. Kidambi 1 Stephan Hofmann 1 Cyrile Deranlot 2 3 Abdelmadjid Anane 2 3 Pierre Seneor 2 3 Albert Fert 2 3 John Robertson 1
1University of Cambridge Cambridge United Kingdom2Unitamp;#233; Mixte de Physique CNRS/Thales Palaiseau France3Universitamp;#233; Paris-Sud Orsay France
Show AbstractSpintronics has a strong influence on the electronics market with applications in magnetic memories and sensors. In particular, the ubiquitous, optimized MgO-based spin valve has successfully established large tunnelling magneto-resistance (TMR) signals, enabling further miniaturisation and increased storage densities. Beyond these maturing technologies, the use of novel carbon-based materials provides numerous opportunities for spintronic devices with flexibility, improved performance and versatile functionalization. In particular, graphene is a promising material for potential spin-based beyond-CMOS architectures [1]. The integration of tunnelling barriers in graphene-based devices remains however challenging. Both the commonly reported lack of wetting of ultra-thin dielectrics and the resulting impact on the graphene lattice are critical issues in developing high performance devices. A promising solution involves the use of high quality sputtered 1nm thick Al2O3 tunnel barriers [1]. We illustrate in particular their potential through the characterization of graphene-based vertical spin-valves with the aim of studying nickel/graphene interface (NGI) spin filtering effects. Lithographed nickel lines were coated with graphene using a chemical vapour deposition step [2]. The passivation of the nickel surface by graphene prevents the growth of a surface oxide on exposure to air, as evidenced by X-ray photoelectron spectroscopy. Electrical measurements show evidence of charge transport and spin filtering through the NGI. While this study further underlines the pertinence of sputtered tunnel barriers on graphene, we will also discuss the potential of less intrusive atomic layer deposition of ultra-thin dielectrics on graphene [3]. [1] B. Dlubak, M.-B. Martin, C. Deranlot, B. Servet, S. Xavier, R. Mattana, M. Sprinkle, C. Berger, W. A. De Heer, F. Petroff, A. Anane, P. Seneor and A. Fert, Nature Phys., in press (2012) [2] R. S. Weatherup, B. C. Bayer, R. Blume, C. Ducati, C. Baehtz, R. Schlögl and Stephan Hofmann, Nano Lett. 11, 4154 (2011) [3] B. Dlubak, P. R. Kidambi, R. S. Weatherup, S. Hofmann and J. Robertson, Appl. Phys. Lett. 100, 173113 (2012)
9:00 AM - W10.06
Application of Solvent Modified PEDOT:PSS to Graphene Electrodes in Organic Solar Cells
Hyesung Park 1 2 Sehoon Chang 2 Matthew Smith 2 Vladimir Bulovic 1 Silvija Gradecak 2 Jing Kong 1
1MIT Cambridge USA2MIT Cambridge USA
Show AbstractWe have fabricated efficient graphene cathode-based inverted organic solar cells using small molecules/conjugated polymers as photoactive materials and titanium oxide/zinc oxide as electron selecting/transporting layers. Amorphous titanium oxide/zinc oxide thin films were successfully coated on the graphene surface which was achieved by non-destructively modifying the graphene-layered sheets using simple polymeric interfacial layers that enabled effective interaction at the graphene/electron transporting layer junction. The observed power conversion efficiency was the highest reported for graphene cathode based devices and the device performance was approaching to that of the normal configuration. The advances demonstrated by this work using air stable graphene as the front electrode in the inverted geometry can provide valuable information in improving the device operational lifetime and long term stability, by avoiding commonly used ITO and PEDOT:PSS which are major sources of the degradation mechanism in organic photovoltaic solar cells.
9:00 AM - W10.07
The Characterization of Phase Transformation on Multilayer with InSbTe/Graphene/GeSbTe Structure
Hyunsoo Kim 1 2 3 Yong-Tae Kim 2 Jai-Hyung Won 3 Man-Young Sung 1
1Korea University Seoul Republic of Korea2Korea Institute of Science and Technology (KIST) Seoul Republic of Korea3Samsung Electronics Co. Ltd. Hwa-Sung Republic of Korea
Show AbstractA well-known chalcogenide phase change materials Ge2Sb2Te5 (GST) usually have two crystalline phase that exhibit vastly different electrical resistance and fast phase transition kinetics from amorphous to crystalline. But, to meet great demand for multi bit storage, we have investigated In-Sb-Te (IST) as a new candidate for multi level cell (MLC) phase change materaials, which shows multiple phase transformation kinetics. Then in this work, we have proposed the graphene as a stable inter-layer for multi stacking structure of GST and IST since we have found that the graphene not only maintains a thermal barrier between GST and IST, but also consist high thermal conductor during set/reset operation. Using high resolution TEM and atomic scale analysis method we have tried to investigate the microstructual characteristics of IST/Graphene/GST multilayers and atomic inter-diffusion between GST and IST through the graphene. As a result, it is found that the graphene layer preventing atomic inter-diffustion in each layer even at a upper crystalization temperature of GST and IST. Subsequently, this multi-stacking strurcture shows that the 4-different resistance levels are distinguishably seperated and the difference of each state is one order of magnitude due to the unique thermal conducting and interdiffusion properies of graphene layers.
9:00 AM - W10.08
Size-dependent Ultrahigh Conductance Devices Using Suspended Graphene Films
Nima Rouhi 1 Peter Burke 1
1University of California-Irvine Irvine USA
Show AbstractHere we present room-temperature electrical conductivity measurement of wafer-scale, large-area suspended few layer graphene (FLG) membranes with areas up to 1000 mu;m2. Devices are built on a wafer with 300 nm low-stress silicon-nitride layer on front and backside. CVD (chemical vapor deposition) grown graphene films are used as the suspended membrane to cover the large-area openings on the nitride film on top of wafers. This represents areas two to orders of magnitude larger than prior transport studies on any suspended graphene device (single or few layer). We find a sheet conductivity of ~2500 e2/h (or ~10 ohms/square) of the suspended graphene, which is an order of magnitude higher than any previously reported FLG sheet conductance. I. FABRICATION Using hot KOH, silicon wafer was etched through 1 mm opening circles on the backside of the wafer. Nitride acts as an etch-stop on top of the wafer. Following that, the top nitride membrane was pattern and etched, using RIE, to introduce 5x5 mu;m2 up to 30x30 mu;m2 holes. Graphene was then transferred on the wafer covering these holes on the nitride membrane. Graphene films will be freely suspended over these holes making large-area (up to 30x30 mu;m2) graphene membranes. Consequently, two electrodes were deposited using conventional lithography followed by e-beam evaporation of metal and lift-off, on two sides of each graphene membrane. Device structure thus, contains electrodes connected by graphene which is partly suspended. II. MEASUREMENTS, RESULTS, AND CONCLUSION Electrical measurement to calculate conductivity of graphene films was performed showing a significant difference between the sheet conductivity of suspended and supported-on-substrate FLG graphene film. Suspended membrane shows sheet conductivity of ~2500 e2/h as opposed to same film on the substrate with conductivity of ~20 e2/h. Besides, we measured 3 devices with different membrane sizes (5x5, 20x20, 30x30 mu;m2) and realized that the largest membrane corresponds to the highest total conductance between electrodes. While there have been no other measurements in the literature of the conductivity of suspended FLG, we compare our results to that of supported FLG. The sheet resistance of our suspended graphene (~10 ohm/sq) is at least an order of magnitude higher than that of FLG graphene synthesized on Ni by CVD measured on solid substrates by other groups, who found varying sheet resistances typically around 250 ohm/sq or higher. The mechanism for such an increased conductance is believed to be related to decreased substrate related scattering, although detailed theoretical models for this for multi-layer graphene have yet to be developed. The ability to fabricate high conductance suspended graphene films can open the door to many potential applications. Of particular interest is the integration of graphene films with electrochemistry, nanopores, and DNA sequencing, a future application that holds much promise for the use of graphene films.
9:00 AM - W10.09
Graphene for Electrochemical and Spectroelectrochemical Applications
Jingping Hu 1 Fang Zhao 2 Adam Jackman 1 John S Foord 1 Richard Jackman 2 Katherine B Holt 3
1University of Oxford Oxford United Kingdom2University College London London United Kingdom3University College London London United Kingdom
Show AbstractGraphene, a two-dimensional sheet of covalently bonded carbon atoms, has attracted widespread attention across many scientific disciplines due to its extraordinary electrical, mechanical, optical and chemical properties. With a high conductivity, a good transmittance and a low cost, graphene is suitable for energy storage, energy generation, electrochemical sensing and spectroelectrochemical applications. Despite the fast moving research in this field, there are still many challenges remains for these applications. Most of the research work focus on solution based graphene nanopallets produced from intercalation of graphite powder and reduction of graphene oxide. Recent fabrication process development in chemical vapour deposition (CVD) provides graphene of a large area, low defects and better uniformity, with many properties different from solution based graphene. In this work, we fabricated graphene electrodes by transferring CVD graphene on substrates of different termination and on infrared transparent substrates. The graphene electrodes were characterised by AFM, Raman spectroscopy, XPS, FTIR, electrochemical impedance spectroscopy and cyclic voltammetry. We found that the electrochemical properties of diamond were strongly influenced by the termination of supporting substrates. The implementation of graphene in spectroelelctrochemistry provides a new platform to study redox-dependent chemistry and protein transitions on this novel material.
9:00 AM - W10.10
Doping of Graphene Nanomeshes for Nanoelectronic Applications
Ahmed A. Maarouf 1 2 Razvan A. Nistor 1 Ali Afzali 1 Marcelo A. Kuroda 1 Dennis M. Newns 1 Glenn J. Martyna 1
1IBM T.J. Watson Research Center Yorktown Heights USA2Egypt Nanotechnology Center Giza Egypt
Show AbstractGraphene nanomeshes (GNMs) are novel derivatives of graphene formed by the creation of a lattice of holes in a graphene sheet. Depending upon the hole shape, size and lattice constant, the GNM can be metallic, or semiconducting with a significant electronic band gap (~0.3 eV). In this work, we use first principles calculations to study the doping of semiconducting GNMs using an ion chelation mechanism. In particular we show examples for the passivation of the holes in the graphene lattice, the ion chelation they allow for, and their tunable p- or n-doping. Our calculations show that such mechanism leads to stable doping of the GNMs, with a negligible effect on their band structure. Such doped GNM structures can be the building blocks of graphene based nanoelectronics.
9:00 AM - W10.11
Transparent Photodiodes Consisting of p-type CNT/n-type ZnO Heterojunctions with Graphene Electrodes
Minji Park 1 Young Wook Chang 2 Kyung-Hwa Yoo 1 2
1Yonsei University Seoul Republic of Korea2Yonsei University Seoul Republic of Korea
Show AbstractWe herein report on transparent heterojunctions that consist of p-type CNTs and n-type ZnO films. The CNT/ZnO heterojunctions exhibited rectifying behaviors in the dark, indicating the formation of a p-n junction. In order to achieve photodiodes that are fully transparent, we fabricated CNT/ZnO heterojunctions on quartz substrates by transferring the CNT films onto ZnO films and using graphene as a transparent electrode to the CNT films. These devices showed an optical transmission over 80% in the visible region and an ideality factor of ~ 1. 46. Our results demonstrate that transparent photodiodes can be achieved by using CNT/ZnO heterojunctions and graphene electrodes.
9:00 AM - W10.12
Electron Spin Flip Scattering in Graphene Due to Substrate Impurities
Aditi Goswami 1 Paul Ruden 1 Darryl Smith 1
1University of Minnesota Minneapolis USA
Show AbstractSpin transport in graphene is a topic of growing interest for the conceivable development of spintronic devices. Graphene is a promising material for this application inasmuch as the intrinsic spin-orbit interaction does not mix states of different spin and intrinsic spin coherence times are therefore expected to be long. The spin decoherence mechanism investigated here theoretically is associated with the electric field of a charged impurity in the substrate (e.g. SiO2) beneath the graphene layer. The impurity is the source of an electric field in its vicinity with a non-vanishing component perpendicular to the graphene layer. The graphene may contain non-equilibrium charge carriers (electrons or holes), for example induced by an applied voltage between it and a gate beneath the substrate layer. Evidently these mobile charge carriers screen the Coulomb field associated with the impurity, however, a non-zero electric field in the graphene layer remains and its component perpendicular to the graphene layer breaks the reflection symmetry (graphene point group D6h). This enables a Rashba spin-orbit coupling mechanism. (The spatially varying perpendicular field near the impurity is an addition to the uniform field due to the gate, which also contributes to the Rashba-type spin-orbit coupling). The physical mechanism explored in this work is the spin-flip scattering due to this type of spin-orbit interaction. The principal interest focuses on charge carriers incident upon the region near the impurity and experiencing a spin flip in addition to the conventional screened Coulomb potential scattering event. This type of spin scattering is unique, inasmuch as it requires a spatially varying field due to a charged center (impurity) not located within the plane of the graphene. It is quite different from the usual case of Elliott-Yafet spin relaxation due to scattering. We calculate scattering cross sections in the framework of the Born approximation based on an 8x8 Dirac Hamiltonian for graphene and a non-linear Thomas Fermi screening model. The dependences of the scattering cross section on the distance of the impurity from the graphene layer and on the equilibrium carrier concentration in the graphene are discussed, and conclusions are drawn about the expected spin coherence times and spin diffusion lengths.
9:00 AM - W10.13
A Thermodynamic Perspective on the Chemical Vapour Deposition of Graphene
Amanda M Lewis 1 2 Brian Derby 1 Ian Kinloch 1
1University of Manchester Manchester United Kingdom2University of Manchester Manchester United Kingdom
Show AbstractThe discovery of chemical vapour deposition (CVD) routes for graphene synthesis have opened the opportunity to make films on the centimetre scale. The routes fall into two broad categories; the precipitation of a controlled amount of carbon from a super-saturated carbide and the CVD of a hydrocarbon on a surface which possesses a poor carbon solubility. Herein, we have studied the latter and focussed on the influence of the growth conditions (precursor balance, pressure and temperature) on the quality of the deposited film. Characterisation methods to determine the defect concentration and number of layers of the deposited graphene whilst on the growth substrate were considered. A thermodynamic interpretation of the influence of growth conditions on the deposited films is presented.
9:00 AM - W10.14
Ab-inition Investigation of Electron-hole Asymmetry in Graphene Bilayer under Oxygen Exposure
Angelica M. Oliveira 1 Evandro A. de Morais 1 Ive Silvestre 1 Alem-mar B. Goncalves 1 Leonardo C. Campos 2 1 Andre S. Ferlauto 1 Hamp;#233;lio Chacham 1 Rodrigo G. Lacerda 1 Mario Sergio C. Mazzoni 1
1Instituto de Ciamp;#234;ncias Exatas - Universidade Federal de Minas Gerais Belo Horizonte Brazil2Massachusetts Institute of Technology Cambridge USA
Show AbstractIn this work, we perform first principles calculation within the Density Functional Theory (DFT) formalism [1,2] to study the symmetry breaking between hole and electron mobilities of graphene bilayer upon molecular oxygen adsorption. We predict that states mainly localized in the oxygen molecules and located above (and close to) the Fermi energy may act as ressonat scattering sources only for n-type carriers. We relate our calculations to experimental results in which this effect is indeed observed: Upon oxygen exposure, the hole mobility increases while the electron mobilty decreases. The behavior of the hole mobility is ascribed to the incorporation of molecular oxygen between the bilayer graphene and SiO2 substrate, weakening the long range Coulomb scattering and enhancing the mobilities (for both, electrons and holes). However, as shown in the calculations, the ressonant mechanism acts only on n-type gate, leading to an overall effect of decreasing electron mobilities. Our calculations are based on the SIESTA [3] implementation of the DFT formalism, and, in our models, we explicitly take into account the presence of the SiO2 substrate. [1] P. Hohenberg and W. Kohn, Phys. Rev.,136(3B):B864-B871, Nov 1964. [2] W. Kohn and L. J. Sham, Phys. Rev., 140(4A):A1133-A1138, Nov 1965. [3] José M Soler et al .Journal of Physics: Condensed Matter, 14(11):2745, 2002.
9:00 AM - W10.15
Direct-write Assembly of Flexible Graphene Microelectrodes
Bok Yeop Ahn 1 Bethany L Glesner 1 Pradyumna L Prabhumirashi 2 Michael L Geier 2 Mark C Hersam 2 Jennifer A Lewis 1 3
1UIUC Urbana USA2NU Evanston USA3UIUC Urbana USA
Show AbstractConductive graphene inks find application in printed electronics, sensors, photovoltaics, and batteries/capacitors. We demonstrate the direct writing of highly concentrated graphene inks (~ 75 wt%) for patterning microelectrodes whose electrical conductivity is ~ 300 S/m after annealing at 200 degree C. Chemically reduced, graphene particles are prepared by solvothermal reduction in N-methyl-2-pyrrolidone (NMP). L-ascorbic acid is added not only to stabilize the particles to achieve the desired highly concentrated, printable inks, but also as a reducing agent during thermal annealing in an ambient condition at low temperature (~200 degree C). Ink formulation, printing behavior, and electrical properties as a function of particle size, binder composition, and annealing conditions will be discussed. In addition, representative printed electronic devices will be demonstrated.
9:00 AM - W10.16
Reactivity of Graphene and Graphene Imperfections Studied Using Scanning Electrochemical Microscopy
Cen Tan 1 Joaquin Rodriguez-Lopez 1 Wan Li 2 Joshua J. Parks 1 2 Nicole L. Ritzert 1 Michael A. Lowe 1 Daniel C. Ralph 2 Hector D. Abruna 1
1Cornell University Ithaca USA2Cornell University Ithaca USA
Show AbstractThe chemical properties of graphene are yet to be understood. We are investigating the chemical properties of graphene using electrochemical techniques. We fabricated single layer graphene electrodes derived from both mechanically exfoliated graphene and chemical vapor deposited (CVD) graphene. The rate of heterogeneous electron transfer of graphene was found to be one to two orders of magnitude higher than that of the basal plane of highly oriented pyrolytic graphite. The enhanced electrochemical activity is likely due to the presence of corrugations and imperfections that disrupt the sp2 conjugation of graphene which can alter its electrical, chemical, and mechanical properties. We further examined the rate of heterogeneous electron transfer of single layer CVD graphene using scanning electrochemical microscopy. It was found that the sites with a large concentration of defects are approximately one order of magnitude more reactive, compared to more pristine graphene surfaces, towards electrochemical reactions. In addition, we successfully passivated the activity of graphene defects by carefully controlling the electropolymerization conditions of o-phenylenediamine. The use of spatially resolved scanning electrochemical microscopy for detecting the presence and the “healing” of defects on graphene provides a strategy for in situ characterization and control of this attractive surface, enabling optimization of its properties for application in electronics, sensing, and electrocatalysis.
9:00 AM - W10.17
Enhancement of Raman Spectrum for Dipolar Molecules on Graphene
Changshui Huang 1 Myungwoong Kim 1 Padma Gopalan 1
1University of Wisconsin-Madison Madison USA
Show AbstractIn recent years there has been tremendous interest in creating a new materials platform based on graphene/organic molecule interfaces. The molecule can either alter the electronic properties of graphene by doping or undergoing specific transformations in response to external stimuli such as light which is then read out electrically by graphene. We have reported a route to create a hybrid material by non-covalently latching dipolar molecules on graphene where molecular transformation can be used as an additional handle to reversibly modulate doping while retaining high mobilities. The interaction with graphene can also potentially alter the properties of the molecule itself. Surface-enhanced Raman spectroscopy (SERS) has emerged as a powerful analytical technique for ultrasensitive chemical or biochemical analysis as it can produce several orders of magnitude enhancement in Raman signals. Two mechanisms for SERS are widely accepted: electromagnetic mechanism (EM) and chemical mechanism (CM). It has been recently proposed that graphene a 2D layer of carbon atoms packed into a honeycomb crystal plane, can be used as a substrate for Graphene-enhanced Raman spectroscopy (GERS) study. In GERS, the Raman enhancement mechanism is not entirely clear as the enhancement could be due to CM, or strong quenching of fluorescence (FL) from the molecule by graphene. The systematic studies presented here on FL quenching in solution and on graphene in solid state as a function of chromophore concentration, comparison of wavelength dependent Raman signals and spatial mapping of Raman signal from the chromophore on graphene and bare SiO2/Si substrates provide valuable mechanistic insight the GERS effect. In this work, we use graphene as a substrate to probe Raman signal of a dipolar molecule namely pyrene tethered Disperse Red 1 (DR1P). DR1P was deposited homogeneously onto graphene on SiO2/Si and bare SiO2/Si substrates by spin coating. Upon comparison of the Raman signal of DR1P on single layer graphene with that on bare SiO2/Si substrate, we found that the Raman signal in the former was on an average 20 times stronger than the latter. The surface coverage of DR1P on graphene was determined by X-ray photoelectron spectroscopy and correlated with the Raman signal. As the surface coverage of DR1P on graphene increases, Raman intensity also increases and saturates at a certain high concentration. The fluorescence quenching of DR1P as a function of its concentration on graphene was also studied in solid state. In fact the FL quenching leads to reduction in the background and hence, enhancement of the Raman signals. We further show that the Raman enhancement occurs on single layer is much larger than on few layer graphene. However the saturation of Raman intensity at higher DR1P concentrations as well as shift in G-band for graphene indicate a possible contribution from charge transfer from the molecule to graphene.
9:00 AM - W10.18
Fabrication of Stable Reduced Graphene Oxide Dispersions in Various Media and Their Transparent Conductive Thin Films
Chong Min Koo 1 2 Soon Man Hong 1 2
1Korea Institute of Science and Technology Seoul Republic of Korea2University of Science and Technology Seoul Republic of Korea
Show AbstractIn this presentation , we demonstrate an easy way to prepare a stable reduced graphene oxide (RGO) dispersion in aqueous or organic media by simple adjustment of the degree of reduction and pH of RGO dispersion, and a subsequent fabrication of transparent conductive RGO thin films on various substrates using a spray coating technique. RGOs were prepared using a hydrazine reducing agent from graphene oxide (GO), which was oxidized from graphite via a modified Hummers' method. The degree of reduction determined the surface properties, such as atomic composition, surface polarity and potential of RGO platelets. In addition, pH significantly affected the surface potential of graphene dispersion. The fine adjustment of degree of reduction and pH of RGO dispersion made production of fine RGO dispersions in aqueous, and organic media such as ethanol and DMF, possible without any aid of dispersing agents. The stable RGO dispersion using volatile ethanol medium provided a unique advantage to be spray-coated into uniform transparent conductive RGO thin films on various substrates including silicone wafer, flexible polycarbonate film, and glass bottle even at room temperature.
9:00 AM - W10.20
Using the Gprime; Raman Cross-section to Understand the Phonon Dynamics in Bilayer Graphene Systems
Daniela Mafra 1 Jing Kong 1 Kentaro Sato 2 Riichiro Saito 2 Mildred Dresselhaus 1 Paulo Araujo 1
1MIT Cambridge USA2Tohoku University Sendai Japan
Show AbstractThe Gprime; (or 2D) Raman band of AB stacked bilayer graphene comes from a double resonance Raman (DRR) process and is composed of four peaks (P11, P12, P21, and P22). In this work, the integrated areas (IA) of these four peaks are analyzed as a function of the laser power for different laser lines. We show that the dependence of the IA of each peak on temperature is different for each distinct laser excitation energy. This special dependence is explained in terms of the electronminus;phonon coupling and the relaxation of the photonminus;excited electron. In this DRR process, the electron is scattered by an iTO phonon from a K to an inequivalent Kprime; point of the Brillouin zone. Here, we show that this electron relaxes while in the conduction band before being scattered by an iTO phonon due to the short relaxation time of the excited electron, and the carrier relaxation occurs predominantly by emitting a low-energy acoustic phonon. The different combinations of relaxation processes determine the relative intensities of the four peaks that give rise to the Gprime; band. Some peaks show an increase of their IA at the expense of others, thereby making the IA of the peaks both different from each other and dependent on laser excitation energy and on power level. Also, we report that the IA of the Gprime; mode excited at 532 nm, shows a resonance regime involving ZOprime; phonons (related to the interlayer breathing mode in bilayer graphene systems) in which a saturation of what we call the P12 process occurs. This effect gives important information about the electron and phonon dynamics and needs to be taken into account for certain applications of bilayer graphene in the field of nanotechnology.
9:00 AM - W10.21
Hierarchically Perforated Graphene for Highly Efficient Charge Storage Applications
Dattakumar Mhamane 1 Anil Suryawanshi 1 Satishchandra Ogale 1
1National Chemical Laboratory (NCL-CSIR) Pune India
Show AbstractWe report room temperature pre-reduction treatment for graphite oxide (GO) which produces hierarchical perforations in GO sheets. The pre-reduction treatment is room temperature stirring of GO with ludox solution followed by acid etching of silica nanoparticles. The technique introduces perforations with hierarchical pore dimensions in the GO sheets. The emergent material, termed as hierarchically perforated graphite oxide (HPGO), on subsequent reduction produces hierarchically perforated graphene nanosheets (HPGN). The perforations produced in HPGO are shown to be retained after reduction in HPGN, albeit with some modifications. It is known that symmetric supercapacitor (SC) made up of chemically produced graphene generally shows specific capacitance (Cs) in the range of 100 - 230 F g-1 for aqueous electrolyte systems but at low current rates. These Cs values are low and at high current density considerable decrease in the Cs value is observed. We tested SC properties of our perforated graphene (HPGN) as an electrode material in 1M H2SO4. At an applied current rate of 0.25 A g-1 the observed Cs was found to be remarkably higher, namely 450 F g-1 with an energy density of 62.5 Wh kg-1. The value of Cs was 204 F g-1 even at high current rate 20 A g-1. Additionally HPGN based SC showed good cycleability with small fading (7%) of Cs after 1000 cycles at a high current rate of 2 A g-1. We thus demonstrate that engineering perforations in graphene nanosheets is the key to realize very high value of specific capacitance in a supercapacitor.
9:00 AM - W10.22
Diffusion Mechanism of a Chemisorbed Hydrogen Atom in Layered Graphenes
Dong Hyun Jung 1 Hyein Guk 1 Daejin Kim 1 Sang Soo Han 2 Noejung Park 3 Seung-Hoon Choi 1
1Insilicotech Co. Ltd. Gyeonggi-do Republic of Korea2KRISS Daejon Republic of Korea3UNIST Ulsan Republic of Korea
Show AbstractHydrogenation of carbon-based nano materials has been an attractive topic to researchers who develop new nanoscale engi-neering materials for electronic devices and hydrogen storage systems. As the hydrogenated graphenes have different properties, there have been many researches on the structure, thermodynamics and kinetics of chemisorbed hydrogen on graphene surface. Kinetics of hydrogen adsorbed on graphene surface is im-portant for hydrogen storage mechanism in carbon-based materials. Although taking into account the quantum effects in ionic motion it can be reduced, the diffusion barrier of a chemically adsorbed hydrogen atom on the pristine graphene surface has been estimated to be around 1 eV and a move from the edge of a stable hydrogenated island formed on the graphene surface needs to overcome around 3 eV barrier, therefore it was suggested that in order for the diffusion to take place with ease at room temperature, physisorbed hydrogen atoms or defect structures should be involved in the spillover process, but this issue is still debated. Besides the hydrogen storage, in order to model the realistic mechanisms of graphene hydrogenation and finely control the graphene properties, understanding the atomic hydrogen kinetics on graphene surface is indispensable. In this study, diffusion of hydrogen atom in the multilayered graphenes was investigated by density functional theory augmented with dispersion corrections. Inside the multilayered graphene with AB stacking, the most favorable pathways are mainly composed of the in-plane diffusion paths with the lower energy barrier (about1.1 eV for the bilayer graphene) and the interlayer jump paths have the higher energy barrier (about1.3 eV for the bilayer graphene). The glide defect made by shifting layer planes makes the energy barrier of the interlayer jump paths lowered to about 0.9 eV so that the adsorbed hydrogen atom diffuses through consecutive jumps from one layer to adjacent layer.
9:00 AM - W10.23
Optical and Electrical Characterization of CVD Graphene Transferred to SiO2/Si Substrates for Biosensor Applications
Thiago Alonso Stephan Stephan Lacerda de Sousa 1 Sara Costa 1 Marcos Assunamp;#231;amp;#227;o Pimenta 1 Elmo Salomamp;#227;o Alves 1 Flavio Plentz 1
1UFMG Belo Horizonte Brazil
Show AbstractIt has been demonstrated in several works that graphene has a high potential to be used as an extremely sensitive sensor in a wide variety of applications ranging from gas sensors to single molecules sensors. The remarkable sensitivity of these graphene based sensors is related to the fact that graphene is a truly bidimensional system and also because its electrical transport properties are profoundly changed when chemical species- simple and complex molecules - are absorbed or chemically bound to its surface, either by charge transfer or by an external electric field. Despite this great sensitivity, the selectivity of grapheme sensors to different chemical species is not very good. Some strategies have been used to overcome this hurdle and one good example is the use of biomarkers on antibodies to promote the selectivity in applications of graphene as biosensors. The final objective of this work is to develop highly sensitive and selective biosensors based on graphene. This will be accomplished by binding both natural and synthetic antibodies (aptamers) to the graphene surface. As we aim at producing devices that can be fabricated in a large scale, commercial graphene grown by CVD on copper foils is our starting point. In this work, we report on the results obtained on the transference of CVD graphene to SiO2/Si substrates and on the characterization of the transferred material by optical microscopy, SEM and Raman spectroscopy. High quality films have been obtained which preserve a good morphology correlation with the original films on copper foils. Raman spectroscopy was used to characterize different regions of the transferred films, showing high quality monolayer (or decoupled bilayers/few layers in some regions). Several devices have been fabricated using direct laser writing pholithography and electrical transport characterization of regions with different morphologies and quality are underway.
9:00 AM - W10.24
Graphene-like Nanostructures Obtained from Biomass
Gabriela Borin Barin 1 Yane Honorato Santos 1 Jennyfer Alves Rocha 1 Luiz Pereira da Costa 3 Antonio Gomes Souza Filho 2 Iara de Fatima Gimenez 1 Ledjane Silva Barreto 1
1Federal University of Sergipe Samp;#227;o Cristamp;#243;vamp;#227;o Brazil2Federal University of Cearamp;#225; Fortaleza Brazil3Tiradentes University Aracaju Brazil
Show AbstractTwo dimensional (2D) carbon nanomaterials such as few graphite layers or graphene are extensively studied due to their unique properties suitable to be exploiting in a wide range of technological applications. Recently, it was reported in the literature the growth of high quality graphene monolayers using food, insects and waste as carbon precursors. This methodology opened a new way to convert the waste carbon into a high-value-added product. In the present work coconut coir dust, an agroindustrial biomass, was used as biotemplate for preparing carbonaceous materials. Carbon structures were synthesized through pyrolysis under nitrogen atmosphere (100mL/min) at 500, 750, 1000, 1250 and 1500°C during 2 hours. Starting materials were coconut coir dust in natura and coconut coir dust treated by hydrothermal method. The samples were characterized by X-ray diffraction, Raman Spectroscopy, Scanning Electron Microscopy (SEM) and Transmission Electron Microscopy (TEM). Raman spectra showed the D band for all samples, related to the presence of defects in sp2 carbon structure and G band, indicative of graphite crystallites. It was also observed that the sample carbonized at 1500°C from coconut coir dust treated by hydrothermal method showed G&’ band at 2685cm -1 associated with the stacking order along the c-axis. X-ray diffraction analysis showed a broad peak around 2theta;= 22° related to the presence of amorphous carbon. By increasing the pyrolysis temperature it was observed changes in XRD profiles and the sample pyrolysed at 1500°C from coconut coir dust treated by hydrothermal method showed peaks at 2theta;= 26.5°, 43° e 45° assigned to (002), (100) (101) graphite plans, respectively. Scanning electron microscopy images showed the presence of overlapping sheets and plates. Transmission Electron Microscopy (TEM) images of coconut coir dust in natura unveiled the formation of amorphous sheet. While the use of coconut coir dust in natura and treated by hydrothermal methotd pyrolysed at 1500°C lead to the formation of some graphitic domains and few graphene layers. TEM analyses of the other samples are in progress.
9:00 AM - W10.25
Defect Induced Magnetism in Chemically Reduced Graphene
Geetika Khurana 1 Nitu Kumar 2 3 Ravinder Kumar Kotnala 2 Tashi Nautiyal 4 Ram Katiyar 1
1Department of Physics, University of Puerto Rico, Rio Piedras San Juan Puerto Rico2Multiferroic and Magnetic Standards, National Physical Laboratory New Delhi India3Department of Physics, Indian Institute of Technology Roorkee Roorkee India4National Institute of Technology Kurukshetra India
Show AbstractExistence of ferromagnetism in recently discovered grapheme: one-atom-thick two-dimensional (2D) layers of sp2-bonded carbon are both fundamentally and technologically extremely important for many future applications, while it is not fully understood; several theoretical studies have proved the main cause of ferromagnetism in graphene due to various defects. The observed results established that these defects can be mended by treating the samples at elevated temperatures. Hence, temperature plays a crucial role in controlling the magnetism in graphene. Extensive and keen efforts are thus needed to have a fine control over magnetism in graphene. In this study, we report the experimental results highlighting the ferromagnetism in chemically reduced graphene by varying the temperature from 200-800 deg. at the step of 100 deg. under the inert atmosphere and observed the noticeable change in the degree of magnetization. Initially the magnetization enhanced systemically up to 500 deg. and further decreased with temperature. We can conclude that the temperature above 500 deg. is the starting stage to repair the defect mechanism, which results in the decrement of the magnetism leaving the graphene with lesser defects. Therefore, temperature is very crucial tool to mend the defects in grapheme to specific extent also having the magnetic properties. Hence, our study established a very simple way to enhance the ferromagnetism by creating defects in graphene by tuning the temperature, which is very useful tool to fabricate the future nanodevices based on graphene.
9:00 AM - W10.26
Sub-ppt Gas Detection with Pristine Graphene
Gugang Chen 1 Tereza M. Paronyan 1 Avetik R. Harutyunyan 1
1Honda Research Institute USA Inc. Columbus USA
Show AbstractGraphene is widely regarded as one of the most promising materials for sensor applications. There have been tremendous efforts focusing on ways to develop more sensitive graphene sensors in recent years. Here we demonstrate that, without functionalizing or decorating graphene, a two-terminal pristine graphene based sensor can detect gas molecules at extremely low concentrations with detection limits down to 158 parts-per-quadrillion (ppq) for a range of vital gas molecules (NO, NO2, NH3, N2O, O2, SO2, CO2 and H2O) at room temperature. These unprecedented sensitivities were achieved by applying our recent reported concept of continuous in situ cleaning of the sensing material with ultraviolet light [1]. The simplicity of the concept, together with easy fabrication and graphene&’s transparency and flexibility to be used on various platforms, is expected to intrigue more investigations to develop pristine graphene based ultrasensitive sensors. [1] Chen, G.; Paronyan, T. M.; Pigos, E. M.; Harutyunyan, A. R., Scientific Reports 2012, 2, 343.
9:00 AM - W10.27
Mechanical Properties of Pillared-graphene Nanostructures under Shear Loads
H. Sasaki 1 T. Hagi 2 K. Shintani 1
1Univerisity of Electro-Communications Chofu Japan2University of Electro-Communications Chofu Japan
Show AbstractRecent researches on carbon nanomaterials such as graphene and carbon nanotubes are concerned with a great deal of their fundamental properties and applications. Kondo et al. (2008) experimentally manufactured a carbon nanostructure named ‘pillared-graphene&’. This nanostructure consists of two parallel graphene sheets and single-walled carbon nanotubes (SWNTs); the SWNTs connect the two graphene sheets as pillars whose axes are perpendicular to the plane of the graphene sheets. Since the thermal and electronic properties of pillared-graphene are superior, this nanostructure is expected to be applied to large-scale integrated (LSI) circuits. Loh et al. (2012) reported that the thermal boundary resistance, what is called the Kapitza resistance, of pillared-graphene varies under torsional and tensile loads. Therefore it is important to understand the mechanical behaviors of pillared-graphene under various loading conditions when its application to structural materials in LSI circuits is considered. In this paper, the deformation of pillared-graphene nanostructures is investigated using molecular-dynamics simulation. Two kinds of nanostructure models are prepared; one consists of two graphene sheets and a SWNT, while the other consists of two graphene sheets and two SWNTs. For both kinds of models, a nanoscale force in the direction within the plane of the graphene sheet is imposed on the edge of one graphene sheet, and the other sheet is fixed. For the first kind of models, the in-plane force is either in the zigzag or armchair direction of the graphene sheet. For the second kind of models, the distance between the two SWNTs is changed and their interaction effect is examined. The RAMMPS simulation code is employed. The integration of equations is performed using the Verlet algorithm. The Nose-Hoover thermostat is used to control temperature. The interactions between atoms are calculated using the adaptive interactive reactive bond-order (AIREBO) potential. How the shear strength of pillared-graphene depends on the direction of shear and the distance between the pillars is examined.
9:00 AM - W10.28
Chemically Modified Graphene/Polyaniline Nanocomposite by Electropolymerization
Ho Jin Bae 1 Yookyoung Shim 1 Sangwon Lee 1 Wansoo Huh 1
1Soongsil University Seoul Republic of Korea
Show AbstractGraphene has the chemical structure consisting of two-dimensional layer of sp2-bonded carbon atoms, and have attracted considerable interest over the last ten years due to the extraordinary electrical, thermal, and mechanical properties arising from its unique structure. Polyaniline has attracted much attention in the past decades owing to its unique properties, such as facile synthesis, high environmental stability, and simple acid/base doping/dedoping. Graphene oxide was obtained using modified Hummer&’s method and was reduced by sodium borohydride. We prepared nitrogen doped graphene with melamine using Chemical Vapor Deposition and composite was obtained in the presence of chemically modified graphene and aniline monomer under acid conditions through direct electrochemical polymerization. We used a piece of indium-tin oxide glass sheet as the working-electrode and a platinum sheet as the counter-electrode. We investigated the effect of graphene and as filler on thermal and electrochemical properties of the composite. The surface morphology and structure of polyaniline, graphene and composites were characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), thermo gravimetric analysis-differential scanning calorimetry (TGA-DSC) and Fourier transform infrared spectroscopy (FT-IR). TGA analysis of graphene oxide, graphene, polyaniline and the composite were made to compare the effects of thermal stability under a nitrogen atmosphere. The successful growth of polyaniline along the surface of carbon materials was verified by FT-IR and X-ray photoelectron spectroscopy (XPS) analysis. Electrochemical properties of graphene, polyaniline and composite were studied using cyclic voltammetry.
9:00 AM - W10.29
Strain-tunable Band gap of Graphene on Hexagonal Boron Nitride
Jaehyun Han 1 Jun-Young Lee 1 Heemin Kwon 1 Jong-Souk Yeo 1
1Yonsei University Incheon Republic of Korea
Show AbstractGraphene, truly two-dimensional (2D) atomic crystal which consists of sp2 bonded carbon atoms with honeycomb lattice, has been attracting tremendous interests due to its outstanding and novel electrical, mechanical, thermal, optical, and chemical properties. Thanks to its novel characteristics, graphene has a great potential to be a strong candidate for technologies that may go beyond the conventional Si based electronic devices. However, an undoped monolayer graphene acts as a zero-energy gap semiconductor, which currently limits its applications to electrostatic switchable devices. For these reasons, many research groups have been investigating various methods of opening a band gap in graphene. Those approaches include the fabrication of graphene nanoribbons with the widths of less than a few 10nm, chemical modification of graphene layer with chemically asymmetric doping in different charge-carrier densities, gap engineering of bilayer or multi-layered graphene, and uniaxial strain induced by tensile stress on graphene. Those results have demonstrated band gap openings up to a few 100meV but are still insufficient to meet a required electrostatic gating efficiency. One group theoretically predicted a significant gap (~1eV) by providing inhomogeneous strain condition to a graphene, which may potentially open up photovoltaic and electrostatic gating device applications of graphene. Here we investigate a strain-induced band gap of graphene on a hexagonal boron nitride (h-BN). The pure h-BN is used to synthesize a graphene layer by controlling the ratio of CH4 and Ar at a processing temperature of 1000°C. With the application of the periodic inhomogeneous strain to a graphene sheet, bandgap characteristics is evaluated along with the physical chacterizations. Scanning Transmission Electron Microscopy (STEM, JEOL-JEM-ARM200F) is used for imaging and structural analysis of graphene/h-BN layer. Sample's surface morphology was characterized by Field emission scanning electron microscopy (SEM, JEOL JSM-7100F). Epitaxially grown graphene on h-BN is analyzed by Raman spectroscopy (HORIBA , ARAMIS) and its topographic variations by Atomic force microscopy (AFM, Park Systems XE-100).
9:00 AM - W10.30
Facile Preparation of Au Nanoparticles Decorated Graphene Sheet Using Phytochemicals and Their Electrical/Optical Properties
Jaewook Lee 1 Syed Rahin Ahmed 1 Hongjian Zhou 1 Jeonghyo Kim 1 Jong-Man Kim 1 Suck Won Hong 1 Jaebeom Lee 1
1Pusan National University Mirayng Republic of Korea
Show AbstractRecently, functionalized graphenes are spotlighted as next leading materials, such as metal nanoparticles (NPs) decorated graphene since their unique and enhanced properties. Among of metal NPs binding graphene, a lot of researchers are interested in Au NPs decorated graphene nano sheets (AuN-GrNS) because of their electrical property, raman scattering signal, catalytic behavior and surface plasmon resonance (SPR). In this study, we successfully prepared AuN-GrNS via phytochemical which are gallic acid and isoflavone as reductant under the r.t. The first synthesis step is intercalation of Au ions into graphene multi layers and next step is conversion from Au ions to Au NPs by phytochemicals on the graphene and at the same time, exfolication is occurred. AuN-GrNS were analyzed by TEM, SEM, AFM, UV/Vis, FT-IR spectroscopy, XRD, Raman spectroscopy, Semiconductor parameter analyzer, fluorescence spectrophotometer and fluorescence lifetime spectroscopy. From electron microscope images, Au NPs is observed that they are well attached on the graphene layer. And electrical conductivity and raman intensity is enhanced. Indeed PL intensity of LBL film which is composed with QD and AuN-GrNS is also enhanced about 2 fold. Therefore, from this methodology, AuN-GrNS can be simply prepared and this novel AuN-GrNS would have potential applications in various fileds.
9:00 AM - W10.31
Graphene Composites for Supercapacitors with High Specific Energy
Jie Tang 1 Qian Cheng 1 Feifei Zhang 1 Norio Shinya 1 Lu-Chang Qin 2
1National Institute for Materials Science Tsukuba Japan2University of North Carolina at Chapel Hill Tsukuba USA
Show AbstractGraphene offers superior chemical stability, large specific surface area , high electrical conductivity, and broad electro-chemical window. It is an ideal electrode material for electric double-layer capacitors (EDLCs) or supercapacitors. We have produced processed graphene for supercapacitors using a modified Hummers method and electrolytic exfoliation. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) have been applied to examine the structure of the produced graphene and confirmed the existence of both monolayer graphene and few-layer graphene sheets. To enhance its structural integrity as well as electrochemical performance, we also designed and fabricated graphene based composites with single-walled carbon nanotubes (SWCNTs) for applications as the electrodes of supercapacitors. In the graphene/SWCNT composite, the SWCNTs acted as a conductive additive, spacer, and binder. As the SWCNTs are inserted between graphene sheets, many wrinkles would be produced because graphene is topologically very flexible and the re-stacking of graphene is effectively avoided. Using the graphene-SWCNT composite as the electrodes of supercapacitors, an ultra high specific energy of about 155 Wh/kg has been achieved, offering great promise for industrial applications including the power supply system for electric and hybrid vehicles.
9:00 AM - W10.32
Atomic Layer Etching of Chemical Vapor Deposition (CVD) Graphene
Jong Sik Oh 1 Jin Woo Park 1 Geun Young Yeom 1
1Sungkyunkwan University Suwon Republic of Korea
Show AbstractCVD graphene is a two-dimensional hexagonal lattice of carbon atoms with thickness of one or a few atomic layers. Due to its material stability and strength, absence of defects, and unique electronic band-structure, CVD graphene holds considerable promise for a number of applications in nanoscale electronics, optoelectronics, and mechanics, as well as being of fundamental interest in condensed matter physics. Many potential applications, such as high-speed field-effect transistors, require CVD graphene to be patterned at the nanoscale. In addition, it is difficult to control the etch depth precisely through the reactive ion etching due to the fluctuation of the etch process. The possibility of fabricating a full graphene device was investigated by utilizing atomic layer etching (ALET) technology. In this study, the etch characteristics of CVD graphene by ALET were investigated using an O2 radical and Ar neutral beam. For the few layer CVD graphene deposited on the SiO2 (300 nm)/ Si substrate, the monolayer etching condition of CVD graphene was observed by supplying O2 radical at a pressure higher than the critical pressure during the adsorption step and by supplying an Ar beam at a dose higher than the critical dose. The removal of exactly one graphene layer per ALET cycle was detected through the increase of the transmittance by 2.3% after one ALET cycle. Full graphene field effect transistors were fabricated by reducing the channel layers using ALET, followed by annealing, and the electrical characteristics of the devices showed the possibility of fabricating fully functional graphene devices composed of an all graphene source/drain and graphene channel by utilizing ALET.
9:00 AM - W10.34
Using Hydrothermal Method to Prepare Graphene-hemin Electrochemical Biosensor for Tyrosine Detection
Junhua Wei 1 Jenny Qiu 1
1Texas Tech University Lubbock USA
Show AbstractIn this paper, a hydrothermal method has been presented for the first time to prepare a hemin-graphene nanosheet (HGN) based electrochemical biosensor for determining L-tyrosine levels. As-fabricated HGN biosensor was characterized by UV-visible absorption spectra, fluorescence spectra, fourier transform infrared spectroscopy (FTIR) spectra, and thermogravimetric analysis (TGA). The experimental results indicated that hemin was successfully immobilized on reduced graphene nanosheet through π-π interaction. TEM images and EDX result further confirmed the attachment of hemin on graphene nanosheet. The cyclic voltammetry (CV) tests were carried out for the bare glass carbon electrode (GCE), the graphene electrode (GN/GCE), and the hemin-graphene electrode (HGN/GCE). The HGN/GCE based biosensor exhibits a Tyrosine detection linear range from 5×10-7 M to 2×10-5 M with a detection limitation of 7.5×10-8 M at signal noise ratio (S/N) of 3. The sensitivity of this biosensor is 133 times higher than the bare GCE. In comparison with other works, electroactive biosensors are easy-fabricated, easy-controlled and cost-effective. Moreover, the hemin-graphene based biosensors demonstrate higher stability, a broader detection linear range, and better detection sensitivity. The study of oxidation scheme reveals that graphene enhanced the electron transfer between electrode and hemin, and the existence of hemin groups effectively electrocatalyzed the oxidation of tyrosine. This study contributes to a widespread clinical application of nanomaterial based biosensor devices with a broader detection linear range, improved stability, enhanced sensitivity, and reduced costs.
9:00 AM - W10.35
Numerical Study on Lattice Vibration of Hydrogen Passivated Percolation Graphene Network
Kenji Ushida 1 Md. Sherajul Islam 1 Satoru Tanaka 2 Akihiro Hashimoto 1
1University of Fukui Fukui Japan2Kyushu University Fukuoka Japan
Show AbstractVibrational properties and phonon spectra of graphene are of fundamental interest from which many physical properties such as thermal conductivity and heat capacity can be derived. A number of research groups have attempted to calculate the phonon spectra in graphite and graphene. However, these studies are limited on vibrational properties of graphite or pristine graphene only. In this work, the numerical analyses on the lattice vibration of the hydrogen passivated percolation network of graphene are performed. The forced vibrational method proposed by Williams et al. [1], which is based on the mechanical response to extract the pure vibrational eigenmodes for very complex and large systems by numerical simulation, has been applied to calculate the phonon density of states (PDOS) of percolation network of graphene with all dangling bonds are terminated by H atoms. The percolation networks in the present model are formed by the bond percolation procedures. Only interactions up to the fourth neighbor atom which is necessary to get accurate results is used. In case of H terminations, there appear high and low frequency region in the PDOS. The PDOS in the hydrogen passivated percolation network strongly depends on the bond probability and the decrease of PDOS at low frequency region induced by their percolation network structure. From the simulated PDOS, we have also observed that the high-frequency modes as dominantly H modes, as can be expected from the C-H stretching modes. In conclusions, the lattice vibrations in the hydrogen passivated percolation network of graphene show the remarkably different properties such as spatial localizations of lattice vibrations induced by the H atoms and percolation network structure. References: [1] M. L. Williams and H.J. Maris, Phys. Rev. B31, 4508-4515(1985)
9:00 AM - W10.36
Characterization of Graphene Surface in Aqueous Environment by Protein Adsorption and AFM Force Measurements
Kenji Yamazaki 1 Toshio Ogino 1
1Yokohama National University Yokohama Japan
Show AbstractChemical doping to graphene is one of the important factors to control its electrical properties1). In particular, water molecules bound to graphene surfaces induce hole-doping in aqueous environment. In this paper, we show adsorption behaviors of protein molecules that were influenced by the chemical doping from the interfacial water layers. We also demonstrate that the force curve measurement on graphene surfaces is powerful to reveal the interaction between graphene and adsorbates. We deposited graphene flakes on single-crystalline sapphire (0001) and (1-102) surfaces by mechanical exfoliation of highly oriented pyrolytic graphite (HOPG). To reveal influence of a water layer at the interface between the sapphire surface and graphene, we annealed the sapphire surfaces at 700 °C for 1h just before graphene deposition. We observed blue shift of the G-peak and 2D-peak positions on the hydrophilic sapphire (0001) substrate. But, in the case of (1-102) surfaces, the G-peak and 2D-peak positions did not blue shift upon annealing. It has been reported that water layer coverage on sapphire surfaces depends on their plane directions2). Therefore, the peak shifts were induced by the hole doping by the water layers that existed at the interfaces between the sapphire surfaces and the graphene flakes. We investigated adsorption of protein molecules to the same graphene/sapphire surfaces. We used ferritin molecules, which are negatively charged for adsorption to the graphene flakes. Ferritin molecules were found to be preferentially adsorbed to the graphene flakes that were supported by the hydrophilic (0001) surfaces. Amount of ferritin molecules adsorbed to the graphene flakes supported by the other substrate surfaces were dramatically small. These results indicate that adsorption behaviors of protein molecules to graphene are strongly affected by chemical doping owing to bound water molecules. We used atomic force microscopy (AFM) equipped with a colloidal probe to measure the force between the cantilever and the graphene surface. From force measurements of AFM in a buffer solution, we detected a long range repulsive force before tip jump into contact was observed during the approach. When ion strength in the buffer solution was high, the repulsive force was not observed. This indicates that the origin of repulsive force is an electrostatic interaction. In summary, we have shown the effect of chemical doping from the water layer to graphene flakes in aqueous environment through protein adsorption behaviors. By the force curve measurements using AFM, we can directly characterize surface chemistry of graphene in aqueous environment. 1) F. Schedin et al. Nat. Mater. (2007) 6, 652-655. 2) T. Tsukamoto et al. J. Phys. Chem. C (2012) 116, 4732-4737.
9:00 AM - W10.37
A Study of the Behavior on Photocurrent of SnO2 - Graphene Composite
Laura Mendez 1 2 Dionne Hernandez 1 3 Frank Mendoza 1 2 Brad Weiner 1 3 Gerardo Morell 1 2
1University of Puerto Rico San Juan Puerto Rico2University of Puerto Rico San Juan Puerto Rico3University of Puerto Rico San Juan Puerto Rico
Show AbstractSnO2 nanocrystals anchored on graphene oxide were synthetized by solvothermal route. The Graphene oxide composite was characterized by X-ray diffraction (XRD), Raman Spectroscopy, Fourier Transform spectroscopy (FT-IR), Scanning Electron Microscopy (SEM), and Transmission Electron Microscopy (TEM). The samples were analyzed to investigate an interesting photocatalytic behavior. The presence of graphene contributes as an electron transporter of photocurrent generated charge carriers from tin dioxide nanoparticles.
9:00 AM - W10.38
Grain Boundaries on Graphene Bilayers
Lidia Carvalho Gomes 1 Simone Alexandre 1 Antonio Neto 2 3 Ricardo Nunes 1
1UFMG Belo Horizonte Brazil2NUS Singapore Singapore3Boston University Boston USA
Show AbstractThe introduction of defects in graphene sheets is an alternative for manipulating the physical and chemical properties of this material. Several works have been performed[1-2] based on the introduction of defects in graphene, followed by the investigation of the ensuing changes in the material's electronic and structural properties. In this work, we investigate the introduction of four different types of grain boundaries on the top layer of bilayer graphene systems with a pristine bottom sheet. The introduction of these extended one-dimensional defects disrupts the Bernal stacking of the two layers. As a consequence, as in the case of graphene bilayers with a relative rotation between layers[3], that gives rise to Moirré patterns, we observe changes in the electronic structures of these defective bilayers: the character of AB stacked bilayer graphene is lost, giving rise to an electronic structure formed by the combination of the uncoupled states of the defective and pristine sheets. [1] J. Lahiri, Y. Lin, P. Bozkurt, I. I. Oleynik, and M. Batzill, Nat. Nano. 5, 326-329, (2010). [2] Correlated magnetic states in domain and grain boundaries in graphene; arXiv:1109.6923v1 [3] J. M. B. Lopes dos Santos, N. M. R. Peres, and A. H. Castro Neto, Phys. Rev. Lett. 99, 256802 (2007).
9:00 AM - W10.39
Contrasting Epitaxial Graphene on Non-polar and Polar 6H-SiC Surfaces
Luke O Nyakiti 1 Virginia D Wheeler 1 Rachael L Myers-Ward 1 Anindya Nath 1 Nelson Y Garces 1 James C Culbertson 1 Jane Howe 2 Charles R Eddy 1 David K Gaskill 1
1U.S. Naval Research Laboratory Washington USA2Oak Ridge National Laboratory Oak Ridge USA
Show AbstractEpitaxial Graphene (EG) synthesized on the conventional polar planes of SiC substrates has shown great promise in high frequency and scalable graphene field effect transistors1 despite challenges of step-bunch induced conductance anisotropy2 and an interface layer (IFL)3 for growth on (0001) and wrinkled multi-layer EG on (000-1). In this work, we systematically grew EG on 6H-SiC non-polar (1-100) and (11-20) surfaces, as well as the semi-polar (01-14) surface, to elucidate and compare the resulting structure with typical EG on polar faces. EG was synthesized on 6H-SiC(1-100), (11-20) and (01-14) substrates with side dimensions 8-16 mm in size using a hot-wall Aixtron VP508 CVD reactor. Prior to growth, an in-situ H2 etch step was used to produce a smooth morphology (RMS ~ 0.11 nm). After etching, the H2 was evacuated, and subsequent EG growth was conducted at temperatures 1520 - 1620°C in a flowing Ar ambient of 10 slm and 100 mbar. Surface characterization techniques such as x-ray photoelectron spectroscopy (XPS), mu;-Raman spectroscopy (532 nm laser), atomic force microscopy (AFM) (tapping mode) and scanning electron microscopy were used to extract composition, presence, thickness, strain and surface morphology variations of EG across the samples. High resolution transmission electron microscopy was used to confirm layer thickness. The RMS roughness of a 10 x 10 mu;m2 AFM scan of non-polar EG layers had values ranging from ~0.54 to 1.2 nm with no step-bunch and terrace morphology as seen for (0001) surfaces with typical roughness of ~2.3 nm. Growth on the semi-polar surface resulted in wrinkles as well as vertical ridges that are preferentially oriented parallel to each other, compared to the RMS values of 2.3 and 3.7 nm for EG on (0001) and (000-1) surfaces, respectively, all the EG films grown on non-/semi-polar orientations were markedly smoother. The Raman 2D mode position remains relatively constant over the mapped area (100 mu;m2) with an average of ~2708 ± 4.6 cm-1, indicating uniform strain approaching values of strain-free exfoliated graphene 2684-2696 cm-13. This contrasts with EG on polar substrates which show higher non-uniform strain, i.e., 2D-mode positions ranging from 2735 ± 3.7 cm-1 on the terrace to 2747 ± 5.0 cm-1 at step edge. XPS C-1s core-level data shows the splitting of the SiC (283.4 eV) and EG (285.0 eV) peaks similar to (000-1) grown layers4; this and other XPS features suggest that no interface layer is present on these non-polar surfaces unlike EG on the (0001) surface. The lack of an IFL for EG grown on non-polar orientations offers an attractive explanation for the recent favorable results for graphene nanoribbons formed on (1-10n) surfaces5. [1] Moon, J S., et al., IEEE El. Dev. Lett. 31, 260 (2010); [2] Lin, Y.-M. et al. El. Dev. Lett. 32, 1343 (2011); [3] A. Das, et al., Nat. Nanotech. 3, 210 (2008); [4] Jernigan G. et al., ACS Nano Lett. 9, 2605 (2009); [5] De Heer W. et al., nat. nanotech. 5, 727 (2010).
9:00 AM - W10.40
Graphene Decorated with Metal Nanoparticles Using Solution Plasma Process
Maria Antoaneta Bratescu 1 Tomonaga Ueno 2 Osamu Takai 3 Nagahiro Saito 2 1
1Nagoya University Nagoya Japan2Nagoya University Nagoya Japan3Kanto Gakuin University Yokohama Japan
Show AbstractRecently the increased interest of carbon-based materials decorated with metal nanoparticles (NPs) for sensors and energy applications has generated a huge development of the research in this field. In the present research, we developed a simple synthesis method of metal NPs on graphene layer and we investigate the optical properties, the uniformity and the morphology of the adsorbed NPs on one layer graphene. Graphene prepared by CVD method on copper foil was decorated with gold or silver NPs, by using a simple and efficient method of solution plasma processing (SPP). SPP was developed in our laboratory as a general method for nanoparticles synthesis. The system graphene - metal NPs was transferred on different substrates as silicon or glass. The system graphene - metal NPs was characterized by micro Raman mapping spectroscopy, transmission electron microscopy (TEM) and UV-vis spectroscopy. As prepared, graphene was confirmed to be a single layer by using Raman spectroscopy. Micro-Raman mapping of 2D band at 2700 cm-1 (two photon double resonance band) which has higher intensity than G band at 1583 cm-1 (interlayer vibrations band of sp2-hybridized carbon) shows the quality and the uniformity of graphene layer on substrates. An enhanced Raman spectrum of graphene was detected when gold or silver NPs were adsorbed on the surface. The UV-vis spectra of graphene layer decorated with gold NPs which was transferred on a glass substrate shows a week absorption band of surface plasmon resonance (SPR), at 520 nm, due to the thin layer of NPs on surface. By changing the size of metal NPs we can tune the SPR band around 520 nm. TEM analysis showed that NPs are around 5 nm diameters and uniformly dispersed on graphene layer.
9:00 AM - W10.41
Direct Evidence for Anisotropic Electron-photon Interaction in Graphene: Polarization Dependence of Photocurrent in a Metal-graphene-metal Device
Minjung Kim 1 Ho Ang Yoon 2 Duhee Yoon 1 Sang Wook Lee 2 Hyeonsik Cheong 1
1Sogang University Seoul Republic of Korea2Konkuk University Seoul Republic of Korea
Show AbstractWe studied the polarization dependence of the photocurrent in metal-graphene-metal photodevices [T. Muller et al, Nat. Photonics 4, 297 (2010)]. Graphene photodevices were fabricated by depositing Pd/Au and/or Ti/Au electrodes on single-layer graphene on Silicon dioxide-covered silicon substrates by using e-beam lithography. Photocurrent images were taken by scanning a focused laser beam across the photodevice. Raman spectra and photocurrent images were taken simultaneously in order to identify the exact position of the photocurrent. Polarization dependences were studied by varying the polarization of the laser beam. We found that the photocurrent is maximum when the polarization of the incident laser is parallel to the edges of electrodes. In order to make sure that the observed polarization dependence is not due to some artifacts of the experimental set up, we confirmed the result of by rotating the sample by 90 degrees. Furthermore, the polarization dependence was compared for different electrode metal combinations. This polarization dependence can be directly explained as being due to the anisotropic electron-photon interaction of Dirac electrons in graphene: the light absorption is known to be maximum when the polarization of the photon is perpendicular to the momentum of the electron being excited [A. Grueneis et al, Phys. Rev. B 67, 165402 (2003)]. The polarization dependence was examined as a function of the distance between the electrodes in order to investigate the effect of ballistic transport.
9:00 AM - W10.42
Synergistic Co-reduction Mechanism Leads to Surfactant-free Ultrafine Noble Metal Nanocatalyst on Graphene with High Catalytic Activity
Paromita Kundu 1 C. Nethravathi 1 Parag Aravind Deshpande 2 Michael Rajamathi 3 Giridhar Madras 2 N. Ravishankar 1
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India3St. Joseph's College Bangalore India
Show AbstractGraphene emerged as a reliable carbon based support system for Pd, Au and Pt nanoparticles in various applications viz. nanocatalyst for hydrogen storage, methanol oxidation for fuel cell and nanoelectronics. In such hybrids, the properties are largely controlled by the nature of the heterointerface. For instance, the efficiency of catalyst nanoparticles depends on the nature of the support and the catalyst/support interface in addition to the dispersion, size, shape and stability of the catalyst. However, synthesizing these hybrids remains a challenge in terms of controlling the particle size, distribution of the noble metals nanoparticles on the graphene sheets and the stability of the catalyst under different conditions. Typical approaches rely on surfactants to achieve size control and molecular linkers to attach the catalyst to graphene. We demonstrate a microwave assisted wet chemical route for rapid synthesis of graphene-based Pt nanoparticle hybrids without employing any surfactant and linkers. The method involves ethylene glycol as the solvent and reducing agent. A synergistic co-reduction mechanism describes the formation of the hybrid whereby the presence of the Pt ions leads to a faster reduction of GO and the the heterogeneous nucleation of Pt is facilitated by the presence of the defect sites on the reduced GO. Morphological characterisation and mechanistic investigation has been carried out using high resolution transmission electron microscopy (TEM), x-ray photoelectron spectroscopy (XPS), infrared spectroscopy (FTIR) and x-ray diffraction (XRD). The resulting hybrid consists of reduced GO susbtrate uniformly decorated with ultrafine Pt particles. These hybrids shows exceptional activity for methanol oxidation with good long term stability. Besides, the hydrogen conversion efficiency of the catalyst is found to be excellent at room temperature conditions making it an ideal multifunctional hybrid based on graphene. The method enables excellent control over particle size, distribution on the support and the interface without the aid of functionalization and surfactant. Our approach is general and can be applied for other graphene-metal composite systems for a variety of applications.
9:00 AM - W10.43
Facile Production of Edge-controlled Graphene by Crystallographic Etching in Supercritical Water
Naoki Tamura 1 Takaaki Tomai 1 Itaru Honma 1
1Tohoku University Sendai Japan
Show AbstractMany theoretical and experimental investigations have indicated that the characteristics of finite-sized graphene are strongly affected by the edge type, such as armchair and zigzag. Recently, crystallographic etching of graphene/graphite has attracted much attention because of the high potential for the selective production of zigzag edge. Crystallographic etching of graphite surface is conducted by Ni, Co nanoparticle under reductive environment and by Ag nanoparticle under oxidative environment. In this study, we developed the facile production method for edge-controlled graphene using supercritical water, which can be act as oxidative agent. As a result, we succeeded in the efficient anisotropic etching of graphite surface under relatively low-temperature condition below 500 degreeC in supercritical water, whereas conventional gas-phase oxidative etching using Ag nanoparticle needed high temperature above 650 degreeC. Moreover, after supercritical treatment, suspended materials in the water are observed. By using transmission electron microscopy (TEM) and Raman spectroscopy, it is revealed that the graphene with zigzag edges which is introduced by etching in supercritical water are exfoliated. It is highly supposed that the anisotropically-etched graphene layer was isolated from the surface of graphite due to the exfoliation effect of supercritical fluid.
9:00 AM - W10.44
Optimizing the Role of Anions and Cations of Ionic Liquids in the Reduction of Graphene Oxide-based Ultracapacitors
Natis Shafiq 1 Muge Acik 1 Daniel R. Dreyer 2 Juan Juarez 1 Christopher W. Bielawski 2 Yves J. Chabal 1
1The University of Texas at Dallas Richardson USA2The University of Texas at Austin Austin USA
Show AbstractGraphene oxide (GO) and derivatives thereof hold promise to be exploited as a new class of carbon material for high performance electrical energy storage devices, such as ultracapacitors. GO, a nanonoporous electrode material, is an alternative to the commonly used activated carbon. However, it is important to optimize the supporting electrolyte and the carbon electrodes so that the energy storage performance can be maximized. Thus, GO is a suitable alternative material with its high surface area nature by tailoring its chemical functionality. Ionic liquids (ILs) have been explored as an alternative to the commonly used aqueous and organic electrolytes to improve electrochemical and thermal stability at high operating potentials and temperatures. To optimize the GO-based ultracapacitor performance, it is vital to understand the chemical interaction (covalent versus non-covalent) between reduced GO (rGO) and various ILs. The current study focuses on the interactions during reduction of GO by thermal annealing in the presence of intercalated four selected ILs (N-methyl-N-octylpiperidinium trifluoromethanesulfonate, N-methyl-N-octylpyrrolidinium trifluoromethanesulfonate, N-methyl-N-octylpyrrolidinium bromide, and N-methyl-N-octylpiperidinium bromide). Thermal reduction of GO provided exfoliation of these GO-IL composites in either deionized (DI) water or in propylene carbonate (PC). The physical and chemical interaction between ILs and rGO were further studied as a function of annealing temperature by in-situ infrared absorption spectroscopy (IRAS). Powder X-Ray Diffraction (PXRD) was also performed to analyze the interlayer distance of GO-IL composites both at room temperature and as a function of annealing temperatures. For instance, the d-spacing was observed to increase by about 3 Å after intercalating GO (9Å original d-spacing) with N-methyl-N-octylpyrrolidinium trifluoromethanesulfonate at room temperature. The loss of C-N and C-O species was identified by IRAS at ~200°C due to the disappearance of the peaks at ~1000-1500 cm-1 and 800-1200 cm-1, respectively, which was attributed to the removal of the IL. Thermogravimetric analysis indicated that the degree of rGO expansion was elevated so that further sheet exfoliation was possible with temperature. XRD analysis also confirmed an expanded d-spacing of the IL at ~150-300°C. IR studies were also helpful to understand the exfoliation characteristics based on the chemical nature of the individual ILs' cations and anions. Raman spectroscopy was also employed to evaluate the defect mechanism in rGO-IL composites. Overall, rGO-based ultracapacitors using pyrrolidinium and piperidinium-based IL systems have shown to vary the capacitor performance based on the nature of the anions (trifluoromethanesulfonate vs. bromide). This work therefore highlights the impact of optimizing chemical and physical interlayer interactions that improves the rGO-based ultracapacitor performance.
9:00 AM - W10.45
Using Gate-modulated Raman Scattering and Electron-phonon Interactions to Probe Single Layer Graphene: A New Technique to Assign Phonon Combination Modes
Daniela L. Mafra 1 3 Jing Kong 1 Kentaro Sato 2 Richiiro Saito 2 Mildred S. Dresselhaus 1 Paulo T. Araujo 1
1MIT Cambridge USA2Tohoku University Senday Japan3UFMG Belo Horizonte Brazil
Show AbstractGate modulated and laser dependent Raman spectroscopy have been widely used to study q = 0 zone center phonon modes, their self-energy and their coupling to electrons in graphene systems. In this work we use gate-modulated Raman of q ne; 0 phonons as a new technique to understand the nature of five second order Raman combination modes observed in the frequency range of 1700-2300 cmminus;1 of single layer graphene (SLG). Anomalous phonon self-energy renormalization phenomena are observed in all the five combination modes within this intermediate frequency region, which can clearly be distinguished from one another. By combining the double resonance Raman theory with the anomalous phonon renormalization effect, we show a new technique for using Raman spectroscopy to identify the proper phonon mode assignment for each combination mode. By using this new approach and comparing the experimentally obtained phonon dispersion, measured by using different laser excitation energies, with the help of both theoretical phonon dispersion relations and the angular dependence of the electron-phonon scattering matrix elements, we can assign each Raman peak to the proper phonon combination mode. This new approach will shed light on the understanding of more complex structures such as few-layer graphene (FLG) and stacking orders and other 2D-like materials.
9:00 AM - W10.46
Single-layer-graphene-assembled Hexagonal-3D Meso-microporous Carbon for High Performance Supercapacitor
Prasad Ashok Yadav 1 2 Jyoti Jog 1 Satishchandra Ogale 2
1National Chemical Laboratory Pune India2National Chemical Laboratory Pune India
Show AbstractSingle-layer-graphene-assembled porous carbon (SLGAPC) is synthesized by a single step catalyst/template free pyrolysis of polymer poly (styrene 4-sulfonate-co-maleic acid) sodium salt. The as-synthesized SLGAPC is characterized by various characterization techniques such as X-Ray diffraction, Raman Spectroscopy, High Resolution Transmission Electron Microscopy and BET surface area measurements etc. SEM and TEM images of SLGAPC clearly show its highly micro-meso-porous nature. Interestingly, the morphology is well-defined with hexagonal pores of uniform size and shape. The Raman spectrum of as-synthesized SLGAPC confirms the nature of high quality single layer graphene as the primary building block of this material. It shows the presence of G band at 1585 cm-1 and presence of D band at 1350 cm-1, but more importantly the presence of 2D band at 2700 cm-1 attributable to single sheet graphene. The specific surface area of the as-synthesized SLGAPC is around 1720 m2/g as measured by BET technique. The SLGAPC is a highly conducting sample with specific conductivity of 100 S/m. Cyclic voltammetry (CV) measurements were performed by varying the scan rates between 10 and 200 mVs-1 with a potential window of 1.0 V in 1M H2SO4 solution. The CV curves exhibit a pure rectangular shape without any redox peaks (oxidation or reduction) with strong capacitive behavior which indicates efficient charge storage with fast ion transport in aqueous electrolytes. The charge-discharge studies of SLGAPC shows maximum capacitance of 154 F/g at a current density of 0.5A/g.
9:00 AM - W10.47
Monolayer Crystals of Phosphonic Acids on Graphene and Boron Nitride
Regiane Nascimento 1 Mariana C. Prado 1 Matheus J. S. Matos 1 Mario S. C. Mazzoni 1 Bernardo R. A. Neves 1 Helio Chacham 1
1Universidade Federal de Minas Gerias Belo Horizonte Brazil
Show AbstractAmong the several possible ways to functionalize materials, we investigate in this work one of large current interest; the Self-Assembled Monolayers - SAMs, on graphene and boron nitride. We suppose SAMs of phosphonic acids with a general chemical formula CH3 (CH2 )nPO(OH)2 ; specifically, we will suppose the octadecyl phosphonic acid (OPA, n =17), the tetradecyl phosphonic acid (TPA, n =13), and the octyl phosphonic acid (OcPA, n =7). In experimental works [1], it was observed that the phosphonic acids OPA, TPA and OcPA form similar structures when deposited on substrates - self-assembled bilayers (SABs, or bilayers). We investigated, by means of first principles calculations based on density functional theory (DFT), the energetics and the structural properties of graphene with adsorbed dimers of TPA, OPA and OcPA acids, and OPA on boron nitride substrate. We studied several possible configurations for dimers deposited along two distinct directions of graphene and boron nitride: armchair and zigzag. We also made calculations of these dimers deposited in a vertical orientation relative to the plane of graphene. Our calculations show that the preferred orientations of all dimers of the studied molecules atop graphene and boron nitride are along the zigzag direction, and that the dimers remove electrons from graphene (hole doping). For boron nitride the doping is much smaller, and with the opposite sign (n-type). Our predictions are consistent with experimental results with graphene as substrate [2]. [1]G. N. Fontes, R. L. Moreira, and B. R. A. Neves. Thermally induced stacking of octadecyl phosphonic acid self-assembled bilayers. Nanotechnology, 15:682, 2004. [2]M.C. Prado, R. Nascimento, L.G. Moura, M.J.S. Matos, M.S.C. Mazzoni, L.G. Cancado, H. Chacham, and B.R.A. Neves. Two-dimensional molecular crystals of phosphonic acids on graphene. ACS nano, 5(1):394 - 398, 2011.
9:00 AM - W10.48
Effective Thermal Conductivity of Graphene-Fe3O4 and Graphite-Fe3O4 Smart Fluids under Magnetic Fields
Ruben Medina 1 Jose Mendez 1 Miguel Zambrano 1 Alejandro Tapia 1
1UADY Merida Mexico
Show AbstractNonmagnetic graphene and micron size carbon particles in flakes form are immersed separately in a stable colloidal Fe3O4 nanoparticles suspension. The carbon particles behave like a platelets nonmagnetic volume inside a magnetized continuum medium; as a consequence, the carbon particles exhibit the characteristics of a magnetic dipole when the fluid is inside a magnetic field. In this case the carbon particles experiences dipole dipole interactions which results in the formation of a chain like structure in field direction. Effective thermal diffusivity of the smart fluid was measured taking into account the direction and intensity of an applied homogeneous magnetic field. Thermal wave cavity technique was used to measure the effective thermal diffusivity of the fluids. The thermal conductivity was obtained using the heat capacity of the constitutive materials of the liquid sample. A thermal conductivity enhancement is observed when heat flow is parallel to magnetic field in the case of the graphite flake particles; also, the thermal conductivity enhance due to the field is a function of the carbon powder concentration, presenting a maximum between 0.1 and 0.2 carbon volume fraction. Nevertheless for the graphene platelets the thermal enhancement due to magnetic orientation is not presented, due to the high Kapitza thermal resistance between grapheme particles.
9:00 AM - W10.49
WITHDRAWN 11/04/12 Mechanical Properties of Multi-layered Graphene on a SiC Substrate
S. Seto 1 K. Shintani 1
1Univerisity of Electro-Communications Chofu Japan
Show AbstractOwing to the superiority of the mechanical and electronic properties of graphene, this carbon nanomaterial is expected to be applied to electronic devices. In its applications, graphene of large area and of rare defects must be supplied stably in great quantities. As a method of manufacturing graphene, thermal desorption of Si atoms from SiC substrates followed by reconstruction of C atoms into single- or multi-layered graphene is promising. In recent experiments by Fukidome et al. (2011), Si atoms in SiC layers epitaxially grown on Si substrates were thermally desorbed, and graphene on Si substrates was successfully realized. According to their results, however, all of the Si atoms in SiC cannot completely be desorbed, and graphene is created on SiC layers deposited on Si substrates. It was confirmed the crystallographic directions of the SiC layers affect the layer structure of graphene and therefore its band structure; graphene on (100) or (110) SiC is metallic, while graphene on (111) SiC is semiconducting. Controlling the crystallography of SiC leads to controlling the morphology and electronic properties of graphene created on it. In this paper, the mechanical properties of single- or multi-layered graphene on SiC substrates are investigated using molecular-dynamics. The equilibrated structures of single- or multi-layered graphene on SiC substrates are obtained. Next, the mechanical properties of such graphene are examined by peeling or indentation simulations focusing on the effects of the layer number of graphene and the crystallography of SiC.
9:00 AM - W10.50
Creating p-doped and n-doped Graphene Using Self-assembled Monolayers
Hossein Sojoudi 1 Jose Baltazar 2 Laren Tolbert 2 Clifford Henderson 2 Samuel Graham 1
1Woodruff School of Mechanical Engineering Atlanta USA2Georgia Institute of Technology Atlanta USA
Show Abstract3-Aminopropyltriethoxysilane (APTES) and 1H,1H,2H,2H-Perfluorooctyltriethoxysilane (B.PFES) were used to modify the interface between monolayer CVD graphene films and its supporting dielectric substrate to control the carrier type and density towards N-type and P-type graphene, respectively. Bottom gated graphene field effect transistors were fabricated to demonstrate the characteristics of the doped films. By using a lithography and patterning process, it was possible to dope adjacent regions of the CVD graphene film to produce a p-n junction. Characteristic I-V curves indicate the superposition of two separate Dirac points which confirms an energy separation of neutrality points within the complementary regions. This method minimizes doping-induced defects which can occur via other doping methods and results in a thermally stable graphene p-n junction for temperatures up to 200°C.
9:00 AM - W10.51
Transparent Graphene-strain Sensor for Wearable Device System
Sang-Hoon Bae 1 Youngbin Lee 1 Bhupendra Kumar Sharma 1 Jae-Hyun Kim 2 Jong-Hyun Ahn 1
1SungKyunKwan University Suwon Republic of Korea2Korea Institute of Machinery and Materials Daejeon Republic of Korea
Show AbstractComing device world will accept the bendable, stretchable, transparent system. In this regard, the advent of graphene has attracted researchers because of its outstanding properties such as electrical, optical, thermal and mechanical property. In this experiment, we exploited the possibility of the transparent strain sensor based on graphene film using its optical transparency and piezo-resistive characteristics. First, our works developed the piezo-resistive characteristic of graphene film together with mechanical strength and optical transparency. The optical transmittance of the strain sensor based on graphene films including substrates reached 75%. Additionally, the strain sensor can show durability and stability up to 7.1% strain In addition, we succeeded to demonstrate two types of strain sensor. One is a rosette type strain sensor and another is a glove-strain sensor. First, the rosette strain sensor takes a role in finding the direction of principle strain. That is, the rosette gauge correctly measured the direction of principle strain as well as the principle strain on the surface under the specific strain. In that case, Mohr&’s circle, common analysis tool for strain, was used to characterize the rosette strain sensor. Another type of strain sensor is the transparent glove strain sensor. It was exactly able to measure the strain of finger-motion. Therefore, we assure that it can be realized in forms of coming bendable and stretchable device world.
9:00 AM - W10.52
Large Scale Graphene-CoS Hybrid Electro-catalytic Electrodes for Dye Sensitized Solar Cells
Santanu Das 1 P. Sudhagar 2 S. Nagarajan 2 Eisuke Ito 3 Sang Yun Lee 3 Y. S Kang 2 Wonbong Choi 1 2
1Florida International University Miami USA2Hanyang University Seoul Republic of Korea3RIKEN-ASI Saitama Japan
Show AbstractVarious approaches to apply the unique large scale graphene in dye sensitized solar cells have been proposed. We achieve 3 times higher efficiency over graphene electrode by using CoS decoration on large scale CVD grown graphene film. Graphene-CoS (G-CoS) electrode is prepared using a chemical process named successive ionic layer absorption and reaction (SILAR). The HRTEM and AFM show that CoS nano-particles are grafted on the graphene film uniformly. The G-CoS electrode is found to be highly electro-catalytic towards iodine reduction with less charge transfer resistance (Rct~5.05 Omega;cm2) and high exchange current density (J0~2.50 mAcm-2). The enhanced performance is attributed to the increment of active catalytic sites of G-CoS triple-junction and high charge conducting pathway of graphene. The CoS decoration process of SILAR is a simple and scalable process which can easily adapted for a large scale G-CoS film fabrication for electro-chemical applications like oxygen reduction, fuel cells, hydrodesulphurization catalyst, super capacitors, Li ion battery anode and several others.
9:00 AM - W10.53
Realization of Uncooled Mid-IR Detection Using Multilayer Graphene
Seongchu Lim 1 Tae Hyung Kim 1 Dave Perello 2 Jung Hyun Yoon 4 Hyun Jung 3 Mun Seok Jung 3 ChaeJeong Heo 1 Ha Ryong Hwang 4 Min-Hee Yun 2 Young Hee Lee 1
1Sungkyunkwan University Suwon Republic of Korea2University of Pittsburgh Pittsburgh USA3Gwangju Institute of Science and Technology Gwangju Republic of Korea4WISE Control Inc., Youngin-si Republic of Korea
Show AbstractRecently, an ultrafast graphene photo-detector has been realized, which is based on the high carrier mobility of graphene and the junction property of graphene-metal electrode. However, at the graphene-metal junction, not only built-in potential due to the mismatch of Fermi level, but also thermoelectric junction due to the different density of state at the Fermi level or Seebeck coefficient can form. Therefore, if any photons are shined onto the junction, the junction is able to generate the current either by photo- or thermo-electric mechanism. What is important in the generation of the excited carriers is the graphene. The carrier multiplication inside graphene attributes the thermoelectric power (TEP) to dominate over the photoelectric current at this junction. Therefore, if these two junctions at the both end of graphene are placed at the different temperature, the net TEP can be used to measure temperature. In this letter, we fabricated a device in a way that incident IR can cause the temperature difference at both end of graphene. Our IR detector operates at room temperature without temperature control and can detect mid-IR range (7~14 micrometer). The responsivity and detectivity of IR sensor covered with SiOx absorber layer are observed to be 4.37 V/W and 1.0 x 107 cm Hz1/2/W at 5 Hz, respectively.
9:00 AM - W10.54
Residue-free Transfer Method for Doping of Graphene Using Functionalized Hydrophobic Polymers for Organic Electronics
Seongkyu Lee 1 Hyun Ho Kim 1 Sae Byeok Jo 1 Hyochan Lee 1 Kilwon Cho 1
1POSTECH Pohang Republic of Korea
Show AbstractGraphene growth by chemical vapor deposition and following wet transfer process using polymer supporting layer are useful method to produce large area and high quality graphene on target substrate. We report a simultaneous wet transfer and doping method using hydrophobic polymers with various functional groups. The inverse transfer method was introduced to make graphene/polymer structure on target substrate, which leaves the polymer supporting layer between substrate and graphene as a dopant without leaving any involuntary polymer residues on top of graphene. The doping effect was confirmed by using Raman spectroscopy and ultraviolet photoelectron spectroscopy. Moreover, we also applied this method to demonstrate flexible organic field-effect transistors with graphene electrodes. Considering tunability and flexibility of polymers, this method would provide valuable directions for graphene-based organic electronics.
9:00 AM - W10.55
Monte Carlo Particle Simulations of Local Heating Properties in Graphene Nano-FETs
Shusuke Oki 1 Yuji Awano 1
1Keio University Yokohama Japan
Show AbstractDue to high density integration of LSIs, thermal management becomes more and more important. Besides, graphene has received much attention as emerging research material for future nano-scale FETs and interconnects, because of its excellent electrical and thermal properties. In this paper, we report a series of calculations of the electrical and thermal properties of graphene nano-FETs for the first time, using Monte Carlo particle model of both electron and phonon transport. In the devices scaled below the size of electron mean-free-path, Monte Carlo particle method would be the most reliable and accurate method of quantitative evaluation of electron transport [1]. On the other hands, heat dissipation in the macro-scale devices has been analyzed as diffusion phenomena, while in nano-scale device, it cannot be treated the same way because the mean-free-path of the phonon becomes comparable to the device size. Therefore, we employed Monte Carlo particle method for not only electron, but also phonon transport simulations in graphene-channel nanometer-sized MOSFETs. Our simulation consists of two-steps; the first one is to simulate electron transport to obtain the spatial phonon distribution, the second step is to generate phonons based on the distribution, to simulate phonon transport, and to estimate local heating in the device. By using a different time-step for each step, (which is reasonable because the relaxation times of electrons and phonons are different), we succeeded in calculating both electron and phonon transport self-consistently for a reasonable computing time. In our model, phonon-phonon interaction rate is assumed to be a constant, and the phonon velocity is derived from the phonon dispersion curve of single layer graphene [2]. The local heating temperature is then being feedback to update the electron-phonon scattering rate. The peak of heat generation rate appears around a 20 nm separate position from the edge of the channel within the drain in case of channel length of 100 nm. We obtain the temperature rising rate of about 0.1 K/ps at the peak position at certain bias condition. Our method can be applied for power devices in which local heating is more crucial in determining device performance. Acknowledgment: The authors would like to thank Prof. K. Tomizawa of Meiji University and Prof. S. Murakami of Tokyo Institute of Technology for helpful suggestions and discussions. References: [1] N. Harada, M. Ohfuti, and Y. Awano, APEX 1 (2008) 024002, or A. Mohamad, N. Harada, and Y. Awano, APEX 5 (2012) 045102 [2] D. L. mafra, G. Samsonidze, L. M. Malard, D. C. Elias, J. C. Brant, F. Plentz, E. S.Alves, and M. A. Pimenta, Phys. Rev. B 76 (2007) 233407.
9:00 AM - W10.56
Investigation of Gamma Phonons in Graphene Oxide Using Raman Spectroscopy
Sumit Saxena 1
1Indian Institute of Technology Bombay Mumbai India
Show AbstractFunctionalized Graphene has demonstrated exceptional potential for the development of nano-device technologies. Functionalizing graphene with oxygen produces graphene oxide. Structural investigations of graphene oxide has been made time and again, mostly on the basis of its electronic structure obtained using several experimental techniques. These experimental observations have been interpreted in light of several models. In crystals zone centered phonon can be probed using Raman spectroscopy. Raman spectrum of graphene oxide has been investigated in light of phonon dispersions and phonon density of states at the gamma point, obtained using ab-inito methods for previously proposed models. Functionalization of graphene in graphene oxide based on these phonon studies will be presented.
9:00 AM - W10.57
Thermal Diffusivity of Graphene Nanosheet/Polyamide Composites
Sung Ryong Kim 1 Mohammad Poostforush 1 Younghui Ko 1 Sung Goo Lee 2
1Korea National University of Transportation Chungju Republic of Korea2Korea Research Institute of Chemical Technology Daejon Republic of Korea
Show AbstractThe thermal diffusivity of graphene nanosheet/polyamides (PA6, PA66 and PA12) composites were investigated. The polyamides/graphene nanosheet composites were prepared by an in-situ exfoliation melting process using a batch-type mixer and the thermal diffusivity of composites was measured by a laser flash method. The processing temperature of each polyamide grade was different and its effect on the thermal diffusivity was studied. The morphology of the composites was observed by field emission scanning electron microscopy. The thermal diffusivity of the PA/graphene nanosheet composites showed a significant improvement over those of PA/expanded graphite intercalated compound composites. Thermal diffusivity of the polyamides/graphene nanosheet composites was increased higher than 10 times compared to that of pure polyamides. It is suggested that the larger sheet size and high expansion ratio of the graphene nanosheet leads to 3-dimensional conductive pathways.
9:00 AM - W10.58
Nanopatterned and Nanoparticle-decorated Graphene in Large Area Fabricated by Diblock Copolymer Micelles
Sung-Soo Kim 1 Yang-Rae Kim 1 Byeong-Hyeok Sohn 1
1Seoul National University Seoul Republic of Korea
Show AbstractRecently nanopatterned graphenes have been fabricated with various lithographic methods, and their extraordinary properties have been widely studied. In addition, to obtain enhanced electrochemical activities, diverse metal or oxide nanoparticles were synthesized and attached on graphene. The bottom-up approaches utilizing molecular self-assemblies have obtained great attractions to generate nanopatterned graphene or nanoparticle-attached graphene because they effectively enable the fabrication of nanostructures and nanoparticles in large area. The diblock copolymer approach, a promising bottom-up technique to generate nanostructures and nanopatterns of various materials in large area, can be applied to an effective graphene nanopatterning. Diblock copolymers spontaneously assemble into periodic nanostructures, of which the size and morphology can be controlled by the molecular weight and composition of copolymers. In addition, diblock copolymer forms nanometer-sized micelles consisting of a soluble corona and an insoluble core in a selective solvent for one block of copolymers. The diblock copolymers and their micelles can be coated on solid substrates to form nanostructured thin films, which can be used as an etching mask to transfer patterns onto the substrate and as a nanotemplate to generate arrays of nanoparticles. In this work, we demonstrate the fabrication of arrays of graphene nanodots and the effective decoration of graphene with arrays of nanoparticles in large area by the diblock copolymer micellar approach. The hexagonal pattern of copolymer micelles was transferred to underneath graphene to generate arrays of graphene nanodots, and the size and inter-distance of graphene nanodots were controlled by the molecular weight of diblock copolymers. In addition, graphene was decorated with arrays of various nanoparticles using diblock copolymer micelles. The number density and size of nanoparticles on graphene were adjusted by the molecular weight of copolymers as well.
9:00 AM - W10.59
Enhanced Chemical Stability of Graphene Supported on Mica Substrates
Taeg Yeoung Ko 1 Jihye Shim 1 Juyeon Lee 1 Sunmin Ryu 1
1Kyung Hee University Yongin Republic of Korea
Show AbstractSeveral studies have recently found that single-layer graphene is chemically more reactive than few-layer graphene when supported on silicon dioxide substrates with sub-nm roughness. In this study, we have investigated the influence of substrates on chemical reactivity of graphene. Thermal oxidation and Ar-plasma etching of graphene on mica and SiO2/Si substrates were studied by atomic force microscopy (AFM) and Raman spectroscopy. Both reactions of single-layer graphene proceed more slowly on atomically flat mica than SiO2/Si substrates. Detailed analysis led to a conclusion that not only structural deformation but also interfacial interactions affect reactivity of graphene. These findings will be of great significance in manipulating various material properties of graphene in pursuit of its useful applications by choosing appropriate substrates.
9:00 AM - W10.60
Photochemical Engineering of Graphene Oxide Nanosheets
Takaaki Taniguchi 1 2 Hikaru Tateishi 1 2 Chikako Ogata 1 2 Yasumichi Matsumoto 1 2
1Kumamoto University Kumamoto Japan2JST, CREST Tokyo Japan
Show AbstractGraphene oxide (GO) nanosheets have semiconducting π-conjugated sp2 domains with various sizes within an oxygenated sp3 domain. The chemical and physical properties can be widely tuned by adjusting the degree of oxidation. Recently, we have developed a simple photochemical reduction method of graphene oxide nanosheets. In this method, graphene oxide (GO) nanosheets are reduced by UV irradiation in H2 or N2 under mild conditions at room temperature. The photoreduction process can be extended to various applications of GO, as it can be performed without any additional chemicals and high reaction temperature. For example, the photoreduction route is very useful for micro-photopatterning a conducting sp2 part on insulating GO. In this paper, we report new photoreactions of graphene oxide nanosheets in an aqueous solution, in which H2 and CO2 are evolved from an aqueous suspension of GO nanosheets under UV irradiation. These reactions are based on the photoreactions of oxygen-containing functional groups and carbon. As a result, it was revealed that reduced GO (rGO) nanosheets exhibited many holes and defects, which potentially induce catalytic activities and/or magnetic properties. Furthermore, we revealed that electron or proton conductivities can be tuned by photochemical reduction. In photoelectrochemical measurements of a GO nanosheet electrode, we confirmed that a large anodic photocurrent was generated with the CO2 evolution, while a small cathodic photocurrent was generated by reduction of oxygen-containing functional groups and the H2 evolution reaction. The mechanism of the photoreaction between GO nanosheets and water is discussed in terms of band energy levels estimated from experimental results. Our research has demonstrated that GO and rGO-based materials with engineered morphologies, oxygenated functional groups, and conduction types can be easily/selectively fabricated by controlling the photoreaction conditions.
9:00 AM - W10.61
Large Area Transfer Method for Epitaxial Graphene
Takaaki Ishida 1 Daisuke Tamakawa 1 Daiki Tsunemi 1 Ryuji Kajiwara 2 Satoru Tanaka 2 Akihiro Hashimoto 1
1University of Fukui Fukui Japan2Kyushu University Fukuoka Japan
Show AbstractFormation of mono- and bi- layer epitaxial graphene on a SiC substrate by Si sublimation is one of the most important issues to obtain the high quality and large area single domain graphene with the controlled layer numbers. From the viewpoints of various applications, it is essential to transfer the epitaxial graphene with the large single domain from the SiC original surface onto the insulator layers such as SiO2 surface formed on the Si substrates. In our previous research, we reported a transfer process by using poly methyl methacrylate (PMMA)[1]. However, the process had included some problems for the reproducibility. In this report, we have presented an improved transfer process for the large area epitaxial graphene with good reproducibility. In our improved process, a Ti layer is deposited on graphene on a SiC substrate by the electron beam deposition and the Ti/graphene layer is peeled from SiC by using epoxy resin. After the peeling, the graphene surface on the Ti layer is covered by positive resist and the sample is annealed at 120°C. The sample surface is covered by a thermal release sheet (TRS) to support the resist/graphene layer. The Ti layer is etched away by hydrochloric acid at 50°C to separate the graphene/resist/TRS layer from epoxy resin. Finally, TRS and resist on SiO2 are removed by annealing at 120°C and acetone, respectively. We have successfully transferred 4.2mm × 2.7mm epitaxial graphene on SiO2/Si with good reproducibility. By the improved process, the graphene can be transferred to SiO2/Si with keeping the intrinsic stress induced by the thermal decomposition process for graphene formation[2]. The quality of transferred graphene was characterized by the microscopic laser Raman scattering spectroscopy. D band peak intensity of the typical Raman spectra from the transferred graphene is as very small as it from the pristine graphene on SiC. The results strongly indicate that the induced defects by our improved process are negligible. In conclusion, large single domain epitaxial graphene with negligible damage can be transferred from SiC to SiO2/Si by the present improved process with excellent reproducibility. [1] Y. Shimotsuji, et al, “New Damage-less Transfer Process for Epitaxial Graphene” IMAGINANO graphene 2011 [2] D. Tamakawa, et al, “Intrinsic stress of Transfer Epitaxial Graphene” IUMRS-ICEM 2012
9:00 AM - W10.62
Strain Engineering of Graphene Sheets by Porous Alumina Membranes
Takayuki Kase 1 Toshio Ogino 1
1Yokohama National University Yokohama Japan
Show AbstractGraphene is an excellent material for electronic and mechanical devices. However, single-layer graphene is a zero-gap semiconductor, and it is necessary to open its bandgap for application to switching devices. To open the bandgap of graphene, we focus on introduction of a periodic strain to graphene sheets. Although this method is theoretically predicted [1], it is difficult to incorporate the periodic strain to graphene. Then, we used porous alumina as a substrate suitable for bandgap opening. Porous alumina is self-organized by anodic oxidation of aluminum and has hexagonally arrayed nano-hole structure. We investigate a effects of the periodic nano-hole structure on the properties of graphene. Here, we show Raman spectra and atomic force microscope (AFM, Dynamic Force Mode) images of graphene flakes attached on porous alumina membranes. Raman spectra were obtained at 532 nm excitation. Graphene sheets were grown on copper substrates by CVD (Chemical Vapor Deposition) using CH4. We confirmed growth of single-layer graphene on the copper substrates by Raman spectroscopy. Porous alumina was fabricated by the one-step or the two-step anodic oxidation process. The formed nano-hole diameter was 60 nm or 90 nm. Graphene sheets were transferred to the porous alumina surfaces or sapphire substrates (for comparison) by the standard PMMA transfer process. In the Raman spectra of the graphene sheets attached on the porous alumina membranes, the intensity of the D-, G-, and 2D- bands are 7~16 times enhanced compared with that on the sapphire substrate. It has been reported that this phenomenon is attributed to the presence of nano-hole arrays [2]. The G- band of the graphene sheets attached to the porous alumina substrate appeared at lower wavenumbers (1581~1582 cm-1) than that on the sapphire substrate (1589 cm-1). It was reported that the shift of G-band is caused by hole-doping to graphene [3]. Since the graphene sheets on the porous alumina are pseudo-free-standing due to the topography of the substrates, their doping effect is weakened compared with that on the sapphire surface. In the AFM images, graphene sheets attached to the porous alumina with pore diameter of 90 nm follow the shape of the porous alumina surface. The difference in heights of graphene sheets between the center and the edge areas of a porous alumina hole is about 16nm. Since the graphene sheets are subject to the porous alumina membrane surfaces, a periodic strain is generated in the graphene. In conclusion, use of porous alumina membrane as a substrate is a promising technique for bandgap opening of graphene. [1] F. Guinea et al., Nature Phys. 6 (2010) 30-33. [2] C. Zhang et al., J. Chem. Phys. 127 (2007) 044701. [3] S. Berciaud et al., Nano Lett. 9 (2009) 346-352.
9:00 AM - W10.63
Polarized Microscopic Laser Raman Scattering Spectroscopy for Edge Structure of Transferred Epitaxial Graphene
Tamakawa Daisuke 1 Tkaaki Ishida 1 Daiki Tsunemi 1 Ryuji Kaziwara 2 Satoru Tanaka 2 Akihiro Hashimoto 1
1University of Fukui Echizen-shi Japan2Kyushu University Fukuoka-shi Japan
Show AbstractEdge states of graphene and graphene nanoribbon are attracting a lot of attention in recent years. It is well known that two typical structures are formed at the graphene edges, which is so-called the armchair and the zigzag edges. In previous research of the edge structure for the highly oriented pyrolytic graphite (HOPG) by polarized microscopic laser Raman scattering spectroscopy, the D band peak intensity in the Raman spectra from the armchair edges depends on the polarization directions of both incident and scattered light [1]. In this report, we have performed the detailed analysis for the edge structures of the transferred epitaxial graphene by the polarized microscopic laser Raman scattering spectroscopy. The sample was a bi-layer epitaxial graphene transferred onto SiO2/Si from pristine epitaxial graphene formed on the Si-face SiC surface [2]. The polarized microscopic laser Raman spectroscopy was performed in the back scattering geometry at room temperature using Nd:YVO4 -SHG laser at 532 nm with the spatial resolution of about 1mu;m. The Raman spectra were obtained for two types of polarization direction of scattered light denoted by “parallel” and “perpendicular” to the polarization direction of the incident light [1]. There are two types of edges labeled by A and B in our graphene sample. The observed angle between the edge A and the edge B is about 90 degrees. Although the D band peak intensity observed from the edge A depended on the polarization direction, the D band peak intensity from the edge B did not depend on the polarization direction. The polarized Raman results strongly indicate that the edge A has linear mixed edge structures of the armchair and the zigzag edges. It is considered that the edge B has the structures combined with the 3 different directional armchair edges in an equivalent ratio, therefore, the polarization dependence of the D band peak intensity for the edge B seems to be canceled. In contrast to the configuration of the edge A with linear mixed edge structure, our present experimental results strongly indicate that the configuration of the edge B is regarded as a rugged structure. In addition, the results of atomic force microscope (AFM) measurements also supported our results for the edge structures. In conclusion, the polarized microscopic laser Raman scattering spectroscopy is very useful for the evaluations for the edge structures of transferred epitaxial graphene. [1] L. M. Malarda, M. A. Pimentaa, G. Dresselhaus, M. S. Dresselhaus, Phys. Rev. 473 (2009) 51_87 [2] Y. Shimotsuji, T. Ishida, S. Tanaka, A. Hashimoto, “New Damage-less Transfer Process for Epitaxial Graphene” IMAGINENANO graphene 2011
9:00 AM - W10.64
Graphene Cold-wall CVD with Very Low Methane Pressure
Thiago Henrique Rodrigues da Cunha 1 Johan Ek Weis 1 Ingrid Barcelos 1 Gustavo Arrighi Ferrari 1 Welyson Ramos 1 Rodrigo Gribel Lacerda 1 Andramp;#233; Santarosa Ferlauto 1
1Universidade Federal de Minas Gerais Belo Horizonte Brazil
Show AbstractThe chemical vapor deposition (CVD) of hydrocarbons appears as the most suitable graphene production method for large area applications such as flexible displays and photovoltaics. Recent developments demonstrate that graphene sheets can be uniformly deposited on copper foils over large areas and transferred to any arbitrary substrate. However, the CVD mechanisms have not been fully understood and therefore a complete control over the morphology of the produced sheets, including grain size and grain boundary control, has yet to be achieved. In this work, we report a systematic investigation of graphene CVD performed on a cold wall reactor system at very low methane partial pressure (10-5 torr) on copper substrates. No hydrogen or other diluting gases were used. A combination of optical microscopy images and Raman spectroscopy measurements was used to study graphene deposited at temperatures ranging from 730 to 950 °C. Monolayer graphene having fully connected grains can be easily obtained at very short deposition times (< 5 min) under these conditions. Moreover, the unwanted collateral growth of bilayer islands is seldom observed. The average grain size ranges from 2 to 50 µm as the temperature is increased, whereas grain morphology changes from rounded structures at low T to flower-like shapes at high T. Raman results show that the intensity of the D band and the ratio between the 2D and G bands are strongly influenced by the growth temperature (2D/G ratio ranges from 1 to 3.5 as temperature is increased). Such behavior is correlated to the increase in grain size as T is increased. In summary, it is demonstrated that CVD of graphene on Cu using very low pressure of methane in a cold wall reactor generates high quality pure monolayer sheets. Currently we are performing transport measurements on field-effect devices in order to determine the mobility of the produced graphene in an attempt to correlate it with grain morphology.
9:00 AM - W10.65
Enhanced Performance of Coronene-derived Large-scale Graphene Transistors on Self-assembled Monolayer Modified Substrates
Xi Wan 1 Chen Kun 1 Du Jun 1 Liu Danqing 2 Jian Chen 3 Qian Lai 4 Weiguang Xie 4 Jianbin Xu 1
1The Chinese University of Hong Kong HongKong Hong Kong2The Chinese University of Hong Kong HongKong Hong Kong3Sun Yat-sen University Guangzhou China4Jinan University Guangzhou China
Show AbstractThe performance of graphene FETs (GFETs) strongly depends on the interface between graphene sheet and the underlying substrate. In this work, we report that applying octadecyltrimethoxysilane (OTMS) SAMs onto conventional SiO2/Si substrate can consistently enhance the performance of coronene-derived large-area graphene FETs. The improved transport properties in terms of boosted carrier mobility (up to 10700 cm2/(Vs)), longer mean free path, nearly vanished hysteretic behavior and remarkably low intrinsic doping level are mainly attributed to the strong suppression of interfacial charge impurity scattering and remote interfacial phonon (RIP) scattering, less adsorption of dipolar species, as well as the attenuated charger transfer at the interface of graphene and the SiO2 dielectric. The intrinsic doping levels of graphene on OTMS and SiO2 have been quantitatively estimated and confirmed from the Dirac points of GFETs and the Raman mapping of G-peak positions. The facile graphene device fabricated over a large area provides an unprecedented combination of high performance and low cost for possible applications of all carbon-based nanoelectronics in future. Acknowledgement The work is in part supported by Research Grants Council of Hong Kong, particularly via Grants Nos. CUHK2/CRF/08 and CUHK4179/10E. J. B. Xu thanks the National Science Foundation of China (Grants Nos. 60990314 and 60928009) for support.
9:00 AM - W10.66
Graphene/Si Heterojunctions with High Optical Responsivity
Xiaohong An 1 Fangze Liu 1 YungJoon Jung 1 Swastik Kar 1
1Northeastern University Boston USA
Show AbstractWe present high quantum efficiency graphene/Si heterojunction devices for a variety of optoelectronic applications such as tunable photoswitching, photodetection, and photometry. Monolayer graphene/Si devices demonstrate photo-switching ON/OFF ratios exceeding 104 and photovoltage responsivities exceeding tens of kV/W making them highly suitable for low-power optoelectronics and weak-signal photodetection. Additionally, by layer-thickening and chemical doping, the photocurrent responsivity of graphene/Si heterojunction devices could be tuned to values high as 0.4 A/W, over two orders of magnitude better than that of previously-reported metal-graphene junctions. These devices can be also used as excellent photometers that remain linear over four decades of incident power, with broadband (400
9:00 AM - W10.67
Electrical and Optical Properties of Hybrid Transparent Conductors that Combine Metal Grids with Graphene Films
Xinning Ho 1 Haijing Lu 1 Wenjun Liu 1 Ju Nie Tey 1 Jun Wei 1
1Singapore Institute of Manufacturing Technology Singapore Singapore
Show AbstractHybrid transparent conductors that integrate metal grids and graphene films are promising transparent conductors. Here, we demonstrate that the electrical and optical properties of these transparent conductors can be easily tailored by altering the metal grid design. We find that a thicker metal grid is more effective than broader metal gridlines to achieve low sheet resistance and high optical transmittance because it reduces the sheet resistance significantly without compromising the optical transmittance to a large extent. We also examined the thermal stability of the transparent conductor and found that it is thermally stable in air at 100C for an extended period of time. Graphene film transferred on top of the metal grid is also found to act as an effective barrier to retard the oxidation of the copper grid at 200C in ambient air.
9:00 AM - W10.68
Characteristics of Metal/Graphene Hybrid Transparent Electrodes for a Flexible Electronics
Seong Jun Kang 1 Yong un Jung 1
1Kyung Hee University Yongin-si Republic of Korea
Show AbstractGraphene are considered to be one of the best candidate transparent conductive films for optoelectronic devices due to its extraordinary electrical and mechanical properties. However, the reported performances of CVD-grown graphene is significantly limited by charged impurity scattering, extrinsic surface phonon scattering, resonant scattering on atomic-scale defects, and grain boundary defects. Here, we developed a metal/graphene hybrid transparent film to improve the performance as a transparent electrode. A systematic study of metal/graphene hybrid films was performed by control the shape and size of metal grid pattern on graphene. Optical, electrical and mechanical properties of metal/graphene hybrid films will be presented.
9:00 AM - W10.69
Electrochemical Characteristics of Boron-doped Graphene/Carbon Composite Fibers Coated with Polypyrrole
Yookyoung Shim 1 Hojin Bae 1 Wansoo Huh 1 Sangwon Lee 1
1Soong-sil University Seoul Republic of Korea
Show AbstractBoron-doped graphene/C composite fibers were synthesized by using electrospinning followed by heat treatment. Due to the strong electron-withdrawing capability, boron atoms are doped into graphene, forming boron doped graphene (BG) via a catalyst-free thermal annealing approach in the presence of boron oxide. Polyacrylonitrile(PAN) was used as electrospinning matrix and a carbon sources. Boron doped Graphene was dissolved in N,N-dimethylformamide and that was dispersed by ultrasonication. Dispersed graphene and PAN were mixed before electrospinning. Polypyrrole was coated on the electrospun BG/C composite fibers by in situ chemical polymerization in order to improve the electrochemical performance. The surface morphology and microstructure of the obtained boron doped graphene /C composite fibers were characterized using scanning electron microscopy (SEM) and elemental dispersive spectroscopy (EDS). X-ray diffraction (XRD) measurements were also carried out to determine the structure of composite fibers. Electrochemical performance of boron doped graphene/C composite fibers was evaluated in initial charge-discharge curve. Capacity of composite fibers was measured using cycling voltammetry (CV equipment).
9:00 AM - W10.70
Fabrication of Graphene Nanoribbons Using Biologically Synthesized Metal Nanoparticles
Yusuke Iida 1 Kenji Yamazaki 1 Toshio Ogino 1
1Yokohama National University Yokohama Japan
Show AbstractGraphene is one of the materials that have attracted much attention in recent years. For switching device applications, bandgap opening is required because graphene is originally a zero-gap semiconductor. One of the methods for bandgap formation is making graphene nanoribbons (GNRs). To fabricate GNRs, catalytic etching using metal nanoparticles was reported [1]. In this process, the etching direction is controlled by the atomic structures of the substrate surface. To obtain a bandgap suitable for electronic devices, the etching width and spacing should be precisely controlled, which requires uniformly sized and positioned metal nanoparticles. In this paper, we propose a new etching technique using ferritin, which is one of the proteins that contain almost constant amount of Fe oxide in their inner core. Single-stepped sapphire (1-102) substrates were chemically cleaned using a H2SO4 and H2O2 mixed solution. Graphene films were attached to the sapphire surfaces by mechanical exfoliation of highly oriented pyrolytic graphite (HOPG). Ferritin molecules were adsorbed to the sapphire surfaces partially covered with graphene films in pure water or a buffer solution. To form metallic Fe nanoparticles, the ferritin-adsorbed substrates were annealed at 450°C in air in a furnace for 10 min to remove the protein shell. Then, the samples were annealed at 900°C in a hydrogen (320 sccm) and argon (600 sccm) mixed gas for 10 min. Surface morphology and vibrational properties of the etched graphene flakes were characterized by atomic force microscopy (AFM) and Raman spectroscopy, respectively. Graphene flakes were etched selectively in [1-10-1] direction under the present experimental conditions. In Raman spectra, the D and D' bands, which were not detected before the etching, are observed from the etched graphene flakes. They are originated in the edge states of GNRs. In AFM images, high-density parallel trenches are arranged because nanoparticles synthesized from ferritin are much small and their size distribution is very narrow. Density of the adsorbed molecules can be controlled by solution conditions, such as pH value and ionic strength. The GNR width depends on the spacing of ferritin adsorption. We found that the molecule spacing can be controlled by intermolecular interaction forces, such as the electrostatic repulsive force between the protein molecules, which can be also regulated by the solution conditions. These results indicate that the use of biologically synthesized metal nanoparticles is a promising technique to fabricate ordered arrays of graphene nanoribbons with an arbitrary width on arbitrary positions on insulating substrates. [1] T. Tsukamoto et al., J. Phys. Chem. C, 115 (2011) 8580-8585.
9:00 AM - W10.71
Heavy Metal Removal Property of Graphene-based Polymer Nanocomposite
Yvonne Ligaya Musico 1 2 Catherine Santos 1 Maria Lourdes Dalida 2 Debora Rodrigues 1
1University of Houston Houston USA2University of the Philippines Quezon City Philippines
Show AbstractPoly-N-vinyl carbazole (PVK) was blended with graphene oxide (GO) to form PVK-GO polymer nanocomposite. The PVK-GO nanocomposite was characterized by XPS and ATR-IR confirming the successful distribution of GO into the PVK matrix due to the presence of functional carboxylic acid and hydroxyl groups on the nanocomposite. The heavy metal adsorption property of the PVK-GO nanocomposite was investigated by exposing the nanocomposite to a Pb (II) solution. It was observed that the adsorption capacity of Pb(II) onto PVK-GO nanocomposite increases proportionally with the increasing amount of GO, which can be attributed to the increase concentration of the GO nanomaterial and the presence of carboxylic sites on the GO surface. Furthermore, PVK-GO had higher adsorption capacity of Pb (II) at higher pHs. The adsorption of Pb (II) onto PVK-GO fits well with the Langmuir model. The adsorption capacity of Pb (II) was 887.98 mg/g and this was achieved using a 10%:90% wt ratio of PVK:GO at pH sim;7 within 90 minutes. This high removal efficiency only goes to show the applicability and effectiveness of using PVK - GO to remove heavy metals from aqueous solutions.
9:00 AM - W10.72
Voltage-induced Site-selective Reduction of Graphene-oxide under Ambient Conditions
Jeffrey M. Mativetsky 1 3 Emanuele Treossi 2 Andrea Liscio 2 Emanuele Orgiu 1 Paolo Samori 1 Vincenzo Palermo 2
1University of Strasbourg amp; CNRS 7006 Strasbourg France2CNR Bologna Italy3Binghamton University Binghamton USA
Show AbstractWhile graphene has been shown to possess exceptional electrical, thermal, and mechanical properties, processing and patterning graphene for large area applications remains a great challenge. Electrically insulating graphene-oxide (GO) is a promising precursor to graphene that can be processed from aqueous solution and subsequently converted to conductive reduced-graphene-oxide (rGO). GO reduction, however, typically relies on the use of hazardous chemicals, such as hydrazine, or energy-intensive high temperature treatment. We will present an alternative approach to GO reduction that enables the selective patterning of conductive rGO regions on insulating GO under ambient conditions. The process relies on a thin adsorbed water layer which forms on the GO surface under ambient conditions, and acts as a localized electrochemical cell when a voltage is applied between surface electrode pairs. We first demonstrated voltage-based GO reduction by applying a voltage between a fixed surface electrode and a moveable metal-coated atomic force microscope (AFM) tip, enabling micrometer-scale patterning of conductive graphitic pathways on GO films [1]. The same AFM setup can then also serve to map the local film topography and current carrying capacity. To further extend the voltage-induced reduction approach, rather than relying on a slowly rastering scanning probe tip, we employed micro- and nano-patterned electrode arrays [2]. By applying a voltage across source-drain pairs we selectively reduced the intervening GO in situ to produce ambipolar rGO field-effect transistors (FETs). Through the use of parallel electrode arrays, voltage-induced GO reduction potentially offers a low-cost, scalable approach to graphene processing for electronics. [1] J. M. Mativetsky, E. Treossi, E. Orgiu, M. Melucci, G.P. Veronese, P. Samorigrave;, V. Palermo, J. Am. Chem. Soc. 2010, 132, 14130-14136. [2] J.M. Mativetsky, A. Liscio, E. Treossi, E. Orgiu, A. Zanelli, P. Samorigrave;, V. Palermo, J. Am. Chem. Soc. 2011, 133, 14320-14326.
9:00 AM - W10.73
Hydrothermal Treatment Used to Reduce Graphene Oxide Can Generate Two New Resonant Peaks
Diego Carvalho Barbosa Alves 1 2 Rafael Silva 3 Damien Voiry 2 Maryan Salehi 2 Eliel Silva 1 Luciano Moura 1 Andramp;#233; S Ferlauto 1 Marcos A Pimenta 1 Tewodros Asefa 3 Manish Chhowalla 2
1Universidade Federal de Minas Gerais - UFMG Belo Horizonte Brazil2Rutgers University Piscataway USA3Rutgers University Piscataway USA
Show AbstractGraphene oxide (GO) is a chemically exfoliated form of carbon which contains sp2 and sp3 bonds as well as functional groups such as -OH and -COOH. This material has been attractive to the scientific community for many reasons, one among them is that it can be synthesized in large quantities at low cost [1]. Removal of oxygen functional groups allows tunability of the electrical and optical properties of graphene oxide. Several methods of reduction of GO have been reported, including chemical reduction, thermal annealing or a combination of these techniques [1-2]. In our present work, we used a modified hydrothermal treatment to reduce GO (rGO) [3]. Our rGO has been characterized by different techniques such as XPS, Raman, UV-vis, and FTIR. Raman spectroscopy has revealed two new peaks that have not been observed previously in rGO. We have investigated the origin of these peaks at various excitation energies and found that these peaks are resonant with maximum intensity around 514 nm excitation and also that they are first-order peaks. [1] Eda, G., & Chhowalla, M. (2010). Chemically Derived Graphene Oxide: Towards Large-Area Thin-Film Electronics and Optoelectronics. Advanced materials (Deerfield Beach, Fla.), 2392-2415. doi:10.1002/adma.200903689 [2] Pei, S., & Cheng, H.-M. (2011). The reduction of graphene oxide. Carbon, 1-19. Elsevier Ltd. doi:10.1016/j.carbon.2011.11.010 [3] Zhou, Y., Bao, Q., Tang, L. A. L., Zhong, Y., & Loh, K. P. (2009). Hydrothermal Dehydration for the “Green” Reduction of Exfoliated Graphene Oxide to Graphene and Demonstration of Tunable Optical Limiting Properties. Chemistry of Materials, 21(13), 2950-2956. doi:10.1021/cm9006603
9:00 AM - W10.74
The Parameter Space of Graphene CVD on Polycrystalline Cu
Piran Ravichandran Kidambi 1 Caterina Ducati 2 Bruno Dlubak 1 Robert Weatherup 1 Marie-Blandine Martin 3 Pierre Seneor 3 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom3Unitamp;#233; Mixte de Physique CNRS/Thales and and Universitamp;#233; de Paris-Sud Paris France
Show AbstractA systematic study on the parameter space of graphene CVD on polycrystalline Cu foils is presented aiming at a more fundamental level process rationale in particular regarding the choice of carbon precursor and mitigation of Cu sublimation. Complete monolayer graphene coverage of high quality (I2D/IG ~3.5 and ID/IG ~0.05, mobilities up to 3000 cm2/Vs, sheet resistances ~400-800 Ohm/square) is limited for methane as precursor to low pressure, H2 diluted exposures and most importantly to high temperatures (1000°C), at which Cu sublimation is at deleterious levels. In contrast, undiluted exposures of liquid aromatic compounds consistently give similar graphene quality at 100-150°C lower temperatures, enough to mitigate Cu sublimation. Graphene formation is not inherently self-limited to a mono-layer for any of the precursors. Rather the nucleation density, percentage of multi-layer nuclei and film uniformity/quality depend on the supplied carbon chemical potential and rate balance of contributing processes, as discussed in the context of basic heterogeneous catalysis and 2D crystal growth kinetics. Graphene formation is thereby significantly affected by the Cu crystallography, i.e. the evolution of microstructure and texture of the catalyst template form an integral part of the CVD process. References: Kidambi et al. The parameter space of graphene CVD on polycrystalline Cu. 2012 (submitted)
9:00 AM - W10.75
Selective Graphene Ribbon Formation on Cu Twin Crystals
Shintaro Sato 1 Kenjiro Hayashi 1 Minoru Ikeda 2 Ayaka Yamada 1 Junichi Yamaguchi 1 Chioko Kaneta 2 Naoki Yokoyama 1
1AIST Tsukuba Japan2Fujitsu Laboratories Ltd. Atsugi Japan
Show AbstractSelective graphene ribbon growth on copper twin crystals by chemical vapor deposition (CVD) has been realized. Graphene ribbons were formed selectively on narrow twin crystal regions with a (001) or high-index surface sandwiched between Cu crystals having (111) surfaces. The selective formation was sensitive to the partial pressure of CH4 in Ar/H2, which was used as the source gas for graphene growth. When the partial pressure of CH4 was relatively low, graphene nucleation at steps on Cu (111) surfaces was suppressed and graphene was preferentially nucleated and formed on twin crystal regions. The preferential graphene nucleation and formation seem to be caused primarily by a difference in surface-dependent adsorption energies of reactants, which were estimated by first principles calculations. Concentrations of reactants on a Cu surface were also calculated by solving a diffusion equation to qualitatively explain the preferential nucleation observed in the experiments. The widths of graphene ribbons found in this study are as narrow as ~90 nm, which are basically the same as those of the twin crystals and can be narrower. Our findings may lead to self-organizing formation of graphene nanoribbons without relying on top-down approaches in the future. This work was supported by JSP through the “FIRST Program,” initiated by CSTP, Japan. This work was partly conducted at the Nano-Processing Facility supported by ICAN, AIST, Japan.
9:00 AM - W10.76
A Zero-thickness Graphene as an Effective Diffusion Barrier between Copper and Silicon
Juree Hong 1 Hyonik Lee 1 Heetak Han 1 Sanggeun Lee 1 Taeyoon Lee 1 Bonwoong Koo 2 Young-Woon Kim 2
1Yonsei University Seoul Republic of Korea2Seoul University Seoul Republic of Korea
Show AbstractThe incorporation of copper (Cu) - based interconnects into manufacturing has led to significant changes in silicon (Si) integrated circuit technologies compared to aluminum-based interconnects owing to its lower resistivity and higher electromigration resistance. However, the Cu diffusion into the Si layers degrades the reliability of the devices, forming Cu-Si compounds. Efforts to inhibit the diffusion of Cu into Si have developed various diffusion barrier materials such as W, Ta, TaN, TiN, TiW, and TaSi. Even though the conventional diffusion barriers smoothly carry on the role as diffusion barrier until the present, critical challenges are currently emerged with regard to thickness of conventional barrier materials. As the interconnect linewidth continuously decreases, the barrier thickness is also required to shrink to at least 5 nm, maintaining its barrier performance. As the diffusion barrier thickness is scaled down, barrier continuity and uniformity have become increasingly critical. However, the trade-off between barrier thickness and barrier performance makes certain dimensions unattainable so far. Therefore, novel barrier materials are required to reach near zero-thickness or zero-thickness, satisfying the following requirements: low resistivity, thermal stability, no interfacial reaction with Si, and preventing Cu diffusion at high temperature. Graphene, which is the monolayer form of carbon atoms arranged in two-dimensional hexagonal lattices, has attracted extensive interests owing to its outstanding electric and mechanical properties. In spite of one-atom thickness, a monolayer graphene is theoretically impermeable to standard gases including helium. Graphene is also thermally and chemically stable at extremely high temperatures, enduring at least 1500 °C. Conjecturably, the use of graphene as a zero-thickness diffusion barrier to protect Cu diffusion to Si can be realizable based on its unique properties that are suitable for necessities as a diffusion barrier. In this study, we demonstrate for the first time the ability of graphene films grown by CVD to prevent Cu atoms from penetrating into Si substrate at high temperatures. Two types of samples were prepared: Cu/single-layer graphene (SLG)/Si and Cu/multi-layer graphene (MLG)/Si. The performance of SLG and MLG as a diffusion barrier between Cu and Si were evaluated by annealing two types of samples in a vacuum chamber for 30 min at temperature range of 500 - 850 °C by flowing H2 gases or Ar gases. Surprisingly, it was observed that no copper silicide was formed below 800 and 850 °C, each for Cu/SLG/Si and Cu/MLG/Si structure samples according to x-ray diffraction and transmission electron microscopy analysis. These results indicate that both SLG and MLG can be operated as an effective zero-thickness diffusion barrier of which performance is competitive with that of conventional diffusion barrier materials.
9:00 AM - W10.77
Enhancement of Resistive Switching Systems with Controlled Electric Field Distribution Using Defective Monolayer Graphene
Keundong Lee 1 Sungtaek Oh 1 Dukhyun Lee 1 Sangik Lee 1 Robert Morgan 5 Sahwan Hong 1 Haksung Kim 1 Sangwook Lee 1 Sunae Seo 2 David H Seo 3 Tomoji Kawai 4 Inrok Hwang 1 Baeho Park 1
1Konkuk University Seoul Republic of Korea2Sejong University Seoul Republic of Korea3Samsung Electronics Seoul Republic of Korea4Osaka University Osaka Japan5Edinburgh University Edinburgh United Kingdom
Show AbstractNowadays one of the most widely used nonvolatile memories is Si based memory. this faces limitations in its speed, operation power and density. The need to improve upon Si based memory devices triggered research into next generation nonvolatile memories which may be able to replace flash memory; memory such as Resistive Random Access Memory (ReRAM). In addition to the advantageous properties of oxide-based ReRAM including simple composition, feasible fabrication processes, and compatibility with contemporary semiconductor electronics, this resistance-concept memory has presented promising nonvolatile memory effects including fast operation speed and reliable data non-volatility with low power consumption. However, questions about the technical issues of resistive switching, which are expected to guide the actual performance features and the structure of ReRAM devices, have not been fully answered. Presence of an electric field across the surface between the electrode and the insulator leads to the random formation of conducting filaments resulting in a wide gap of resistive switching voltage distribution. In this paper, we have investigated a resistive switching system with a controlled electric field distribution induced by highly defective monolayer graphene. First, we controlled the amount of defects in monolayer graphene by using an Ar ion scattering assistant reactive system (IAR) and measured the concentration of defects by Raman spectroscopy. Second, we transferred the defective graphene on to the ReRAM oxide. The inhomogeneous distribution of electric field, due to the defective graphene regions, formed areas of high electric field concentration which strongly influenced the switching voltage distribution and the stability of the ReRAM devices. The Pt/defective-graphene/ReRAM-oxide/Pt structure is a good model system which can effectively control the electric field distribution in a resistive switching material.
9:00 AM - W10.78
Experimental Insight into the Spin-based Magnetism of Unzipped (Split) Graphene Nanoribbons
Srinivasa Rao Singamaneni 1 3 2 S. Narayana Jammalamadaka 4 Andre Stesmans 2 V.v Moshchalkov 4 J t Prater 1 3 J. Narayan 3 J m Tour 5 J.van Tol 6
1Army Research Office, Research Triangle Park Durham USA2K.U. Leuven, Celestijnenlaan 200D, 3001 Leuven Belgium3North Carolina State University Raleigh USA4K.U. Leuven, Celestijnenlaan 200D, 3001 Leuven Leuven Belgium5Rice University Houston USA6National High Magnetic Field Laboratory, Centre for Interdisciplinary Magnetic Resonance Tallahassee USA
Show AbstractGraphene nanoribbons (GNRs) have been predicted to reveal superior magnetic and electronic properties over graphene as the GNRs possess characteristic magnetic zig-zag edges and tunable band gap, which are apparently absent in pure graphene. Theoretical predictions1 envisage potential spintronic applications for GNRs due to weak spin-orbit coupling and negligible hyperfine coupling, however, this has not been confirmed by direct experimental observations using pure spin-sensitive techniques. That exactly forms the motivation of the current work, in which, we present and discuss our recent comprehensive experimental findings on the edge spin magnetism of unzipped (split) GNRs2. To accomplish this task, we have employed a combination of spin-sensitive multi-frequency electron spin resonance (ESR) spectroscopy (continuous wave, pulse and HYSCORE) coupled with static magnetization measurements. The salient features3,4,5 of the current work include: (a) unzipped GNRs have been shown to be used as a highly sensitive and reversible O2 sensors, and weak NH3 sensors (b) split GNRs exhibit room-temperature ferromagnetic-like properties (c) split GNRs reveal a strong temperature dependence of electron spin-lattice relaxation rate, and (d) split GNRs show remarkable dynamic magnetic features. We believe that, with this advanced knowledge of (edge) spin-based magnetism coupled with their room temperature semiconducting nature, GNRs may now be considered for the development of GNRs-derived sensor and spintronic devices. 1O.V. Yazyev, Phys. Rev. Lett. 101, 037203 (2008); 2D. V. Kosynkin et al., Nature (London) 458, 872 (2009); 3S.S.Rao et al., Nano Lett., 12,1210 (2012);4S.S.Rao et al., Appl. Phys. Lett., 98, 083116 (2011); 5S.S.Rao et al., New Journal of Physics 13 (2011) 113004
9:00 AM - W10.80
Changes in Graphene Optical Properties Induced by Hydrogen Intercalation
Alexander G. Boosalis 1 2 Randolf Elmquist 2 Mariano Real 2 Tino Hofmann 1 David Gundlach 2 Mathias Schubert 1
1University of Nebraska - Lincoln Lincoln USA2National Institute of Standards and Technology Gaithersburg USA
Show AbstractGraphene has been the focus of much recent research due to its unique electronic and optical properties, with potential for high performance electronics, tunable ultra-fast lasers, and transparent electrodes. Further development of graphene for commercial use requires effective large-area epitaxial production that maintains the desirable properties of exfoliated graphene. One such method of epitaxial graphene growth is thermal sublimation of Si from SiC. Sublimation of Si from the Si-face (0001) is the most controllable but produces a (6radic;3 × 6radic;3)R30° surface reconstructed carbon layer prior to graphene formation [1]. Also known as the “buffer” layer, this layer can be altered by subsequent hydrogen intercalation, resulting in quasi-free-standing (QFS) epitaxial graphene [2]. In order to determine the effect of hydrogen intercalation on the electronic and optical properties of graphene we performed spectroscopic ellipsometry experiments in a spectral range of 3 to 9 eV before and after hydrogen intercalation of buffer layer only carbon growth on 6H SiC (0001). Spectroscopic ellipsometry is a widely used technique for determining the optical properties of thin films, and can provide sensitivity to film quality, morphology, and strain. In the case of graphene sensitivity is obtained through the critical-point (CP) located at 4.5 eV [3, and references therein]. Analysis of CP absorption is achieved through a parameterized model dielectric function (MDF) which is varied until a best-match between model and experimental data is obtained. Best-match model results show drastic changes in the imaginary part of the MDF between previous measurements of epitaxial graphene on SiC, buffer layer only growth on SiC, and buffer layer growth after hydrogen intercalation. Buffer layer only growth exhibits a far greater absorption throughout the spectrum, with a CP energy that is shifted toward the infrared when compared to previous measurements of graphene. After hydrogen intercalation, the QFS graphene layer exhibits a lowered absorption and a CP with a higher energy than that of previous measurements, displaying an MDF closer to that of theoretical predictions for graphene by Yang et al., [4]. In conclusion, hydrogen intercalation of buffer layer carbon growth on SiC (0001) has been shown to produce QFS graphene with optical properties closest to that of theoretical predictions for graphene, further proving its effectiveness as a tool for large-area epitaxial graphene production. In addition, buffer layer carbon growth shows optical properties sufficiently different from that of graphene to allow spectroscopic ellipsometry to become a viable in-situ monitor for commercial production of hydrogen intercalated graphene on SiC. References: [1] Virojanadara et al., Phys. Rev. B 78, 245403 (2008) [2] Riedl et al., Phys. Rev. Lett. 103, 246804 (2009) [3] Boosalis et al., Appl. Phys. Lett. 101, 011912 (2012) [4] Yang et al., Phys. Rev. Lett. 103, 186802 (2009)
9:00 AM - W10.81
MWCNT/Silicon Nitride Composite Coatings - Nanoindentation and Fracture Study
Sugeetha Vasudevan 1 Kevin Sena 1 Brian W Sheldon 1 Alex A Puretzky 2 David B Geohegan 2 Aaron Kessman 1
1Brown University Providence USA2Oak Ridge National Laboratory Oakridge USA
Show AbstractToughening in carbon nanotube reinforced ceramic composites is achieved by crack deflection as with traditional fiber reinforced ceramics and also by a less known telescopic sliding of walls in the nanotubes1. The contribution of interwall sliding to the fracture energy absorption is thought to be dependent on a number of factors namely the number of walls, the diameter of the MWCNT and the density of the array. Experiments were designed to vary the properties of the nanotube arrays and understand the toughening behavior. Multi walled carbon nanotubes were used as reinforcements in silicon nitride coatings. Growth of carbon nanotubes is carried out by catalytic decomposition of acetylene over an iron catalyst layer. The properties of the MWCNT array are modified using a controlled heat treatment approach to attain variation in intertube diameter and number of walls in the nanotubes. A novel route2 of pulsed chemical vapor deposition is adopted to vary the density of the arrays. In the pulsing technique, different acetylene pressures combined with high gas flow velocities were used to vary the amount of carbon flux and correspondingly the density of the nanotube arrays. Optical extinction measurements were performed on the grown arrays to determine quantitative differences in the density of the arrays. The nanotube arrays are then vapor infiltrated with silicon nitride matrix using a low pressure chemical vapor deposition process with dichlorosilane and ammonia gases. The effectiveness of the multi walled nanotubes as toughening agents is qualitatively determined by studying the fracture surface and the pullout lengths in these composite coatings. Nanoindentation tests were also performed to estimate differences in hardness and Young&’s modulus as a function of nanotube array density and nanotube diameter. 1 Kothari et al., Journal of the American Ceramic Society, Volume 91 (8) 2008, p. 2743 2 Jackson et al., ACS Nano, Volume 4 (12) 2010, p 7573
9:00 AM - W10.82
Li diffusion through defects in graphene and graphite planes
Hakim Iddir 1 Larry A Curtiss 1
1Argonne National Laboratory Argonne USA
Show AbstractDensity functional studies are reported on the mechanisms and energy barriers for Li and Li+ diffusion through Stone-Wales (SW) and vacancy defects in graphene and graphite. The migration barriers for Li and Li+ diffusion through a graphene plane show that Li diffusion is aided by the presence of multiple vacancies. The initial Li charge state was investigated for the SW and di-vacancies (DV) and found to affect the apparent barrier for the diffusion for the later, with Li atom exhibiting a lower energy barrier for diffusion than Li+. This is a result of increased local charge transfer from Li atom to the DV in the graphene plane. The effect of the size and structure relaxation of the periodic model systems on the results will be presented.
9:00 AM - W10.83
Transparent Conducting Film Composed of Graphene and Silver Nanowire Stacked Layers
Katsuyuki Naito 1 Norihiro Yoshinaga 1 Eishi Tsutsumi 1 Yoshihiro Akasaka 1
1Toshiba Corp. Kawasaki Japan
Show AbstractGraphene transparent electrodes are flat, flexible and stable. Their work functions can be controlled. Nitrogen-substituted graphenes are expected for n-type negative electrodes. Reversed type solar cells or OLEDs using the transparent negative electrodes have been investigated instead of using unstable metal electrodes. Surface resistivity values of graphenes, however, are larger than those required for the electronic devices with current drive. Silver nanowire transparent electrodes prepared by printing show small surface resistivity values. Silver nanowires cause light scattering which is preferable for solar cells and lighting. They have apertures which are not suitable for electron exchange to an active device layer with a low electric conductivity. They are unstable to sulfur compounds in the atmosphere. In this study, we have investigated transparent conducting films composed of a reduced graphene oxide top layer and a silver nanowire under layer. The stacked layer structure compensates for their weak points. The reduced graphene oxide film can also be prepared by printing. Its electric resistance perpendicular to the film surface will be neglected if the thickness is very small. Graphene oxide was dip-coated from its aqueous suspension onto a half part of hydrophilic glass plate, and reduced by hydrazine vapor. A methanol suspension of silver nanowire with 111nm diameter was cast on the whole glass plate, and then PMMA solution was cast. The resulting film was removed from the glass plate in water. Surface resistivity was measured with a four-point probe method. The surface resistivity of the film was 2.5±0.2Omega;/sq, and its total transparency with the graphene layer at 550nm was 66±3% (specular transparency was 49±5%). The transparency of the graphene layer was 95.7%, indicating two-layer graphene with a <1nm thickness. SEM images of the film which had been put in a plastic case in the atmosphere for 3 months showed some corroded parts of the silver nanowire without the graphene layer, and a charge-up phenomenon was observed on the PMMA part. The film with the conducting graphene top layer did not give the charge-up and the corrosion. When the film without the graphene layer was exposed under the sulfur vapor, the surface resistivity rapidly increased to 10^6 Omega;/sq within 20 minutes after about a 10 minute induction period. The surface resistivity remained at about 10Omega;/sq after 170 minutes with the graphene layer. The ultra thin graphene layer prevented the sulfur vapor attack. The sulfur vapor treatment can be applied to conducting and non-conducting patterning and the short time treatment can decrease light scattering without increasing the resistivity very much. In place of PMMA, a fluorinated transparent polymer gave a more flexible film with 4.0±0.4Omega;/sq, and its total transparency was 65% at 300nm, 75% at 550nm, and 80% at 2000nm. The film was transparent from near ultraviolet to near infrared region.
W8: Graphene Synthesis and Characterization II
Session Chairs
Wednesday AM, November 28, 2012
Hynes, Level 3, Ballroom B
9:30 AM - W8.01
A Facile Method to Measure the Domain Size of Graphene Flakes Prepared by CVD via Annealing in Air
Yong Cheol Shin 1 Will Gaviria 2 Roman Caudillo 3 4 Paulo Araujo 4 Mildred S. Dresselhaus 2 5 Jing Kong 5
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Intel Corporation Hillsboro USA4Massachusetts Institute of Technology Cambridge USA5Massachusetts Institute of Technology Cambridge USA
Show AbstractOne of the factors lowering the electric properties of CVD-graphene compared to mechanically exfoliated graphene is the existence of domain boundary that scatters electronic transport. Apart from efforts to have a domain size as large as possible, an easy method to measure the size of each domain is also important because the characterization of electric properties is only accessible by fabricating a device through a number of complicated processing steps. In this report, revealing nucleation sites and domain boundaries was attempted, based on the observation from the Raman spectroscopy that these regions are relatively defective with higher D/G ratio. This led to an assumption that the regions will be vulnerable to a simple chemical treatment, such as annealing in air. Samples with different domain size and coverage feature were prepared and tested upon an optimized annealing condition. As a result, etch trenches of graphene was observed in particular shape. The craters inside the flake were considered as nucleation sites, which were well matched with the prediction from the Raman spectroscopy. Furthermore, by measuring inter-nucleation sites distance, domain size of graphene could be estimated, which was also fit to anticipated values of the flakes. The location of bilayer was always on top of the nucleation sites where no creation of craters was observed. This confirmed the stability of bilayer predicted from the Raman spectroscopy as well. This simple and useful method provides a new route for evaluating the quality of graphene which is directly correlated to its electric property.
9:45 AM - W8.02
D-band Raman Spectra for Several Types of Defects in Graphene
Joaquin F. Rodriguez-Nieva 1 Riichiro Saito 2 Mildred S. Dresselhaus 1
1MIT Cambridge USA2Tohoku University Sendai Japan
Show AbstractDefects play a crucial role in determining the materials properties and special defects can be extremely useful to generate novel materials and devices [1]. Additionally, Raman spectroscopy is one of the most important techniques for the characterization of graphitic materials. However, there is not yet a complete understanding of the signatures of the defects in the Raman spectra of graphene and low-dimensional carbon materials in general. We study some of the consequences of the defects on the Raman features based on the nature of the defects. Some of the defects act mainly on the phonon properties (for instance, isotope impurities [2]), while others also affect the electronic properties (for instance, vacancies and substitutional dopant atoms [3]). There are many mechanisms involved in determining the Raman features and they depend on several parameters like the nature of the defects, concentrations and temperature. Some of the phenomena involve the reduction of the lifetimes, double resonant processes [4], localization [2], etc. We make quantitative estimates of some of these Raman features and the responsible defects in order to better understand the physics of defects in graphene and their role on Raman spectroscopy. REFERENCES: [1] H. Terrones et al., Rep. Prog. Phys. 75, 062501 (2012) [2] J. F. Rodriguez-Nieva et al., Phys. Rev. B 85, 245406 (2012) [3] Y. A. Kim et al., DOI: 10.1021/nn301728j [4] P. Venezuela et al., Phys. Rev. B 84, 035433 (2011) ACKNOWLEDGEMENTS: JFRN and MSD acknowledge grant DMR-10-04147. RS acknowledge MEXT grant (No. 20241023).
10:00 AM - *W8.03
Quasi-free Standing Graphene on SiC
Thomas Seyller 1
1Universitaet Erlangen-Nuernberg Erlangen Germany
Show AbstractThe growth of epitaxial graphene on silicon carbide (SiC) surfaces is considered to pave the way for graphene-based electronic devices. Graphene can be grown on both polar {0001} surfaces of hexagonal SiC but with properties which are characteristic for the surface polarity. On the Si-face, monolayer graphene can be grown with good homogeneity in argon atmosphere. At low temperature (4 K), these layers show a carrier mobility of up to 29.000 cm2/Vs when the carrier density is tuned close to charge neutrality. However, the carrier mobility is strongly temperature dependent which is not expected from graphene and which therefore should be caused by the interaction with the substrate. In order to understand the role of interactions with the substrate we have investigated the properties of quasi-freestanding monolayer graphene (QFMLG) obtained by decoupling the buffer layer from the substrate by intercalation of various elements such as hydrogen or oxygen. In my presentation I will briefly summarize the growth and properties of epitaxial graphene on SiC before I survey recent work performed on quasi-freestanding graphene obtained by intercalation.
11:00 AM - W8.04
Boron Doped Monolayer Graphene by Chemical Vapor Deposition of Triethylborane
Justin B Bult 1 Craig Perkins 1 Dennis Nordlund 2 Jeffrey Blackburn 1
1National Renewable Energy Laboratory Golden USA2SLAC National Accelerator Laboratory Menlo Park USA
Show AbstractThe utilization of graphene thin films in electronic device applications centers on the ability to control the graphene electronic properties. Substitutional doping of the graphene sheet with atoms that are electron-deficient or electron-rich relative to carbon represents an effective strategy for controllable fabrication of p-type or n-type graphene devices. Nitrogen and boron represent the logical n and p-type dopants for graphene, respectively. While a significant amount of recent research has demonstrated the successful incorporation of nitrogen into monolayer graphene sheets and corresponding modifications of graphene electronic structure, boron doping has received much less attention. Here, we present a novel synthetic route for boron-doped graphene, using a single-source (triethylborane) chemical vapor deposition process [1]. The substitutional boron doping is confirmed via an array of characterization techniques including x-ray photoelectron spectroscopy, near edge x-ray absorption fine structure, and mapping Raman spectroscopy measurements. Mapping Raman spectroscopy indicates that the boron-doped graphene is p-type relative to undoped graphene, and also demonstrates significant spatial variance in the dopant incorporation and final graphene structure. A unique feature of the triethylborane precursor is that slight modifications of the growth parameters afford control over the sp2 morphology, enabling the fabrication of unique hybrid films. The potential for these boron-doped graphene thin films in device applications will be discussed.
11:15 AM - W8.05
Challenges for Growth of High Quality Graphene
Avetik R. Harutyunyan 1
1Honda Research Institute USA Inc. Columbus USA
Show AbstractControlling the surface topography of graphene is vital for exploiting its exceptional electronic properties. Therefore, understanding the peculiarities of graphene growth mechanism is essential. Currently, the origin of surface ripples is believed to be associated with factors including thermodynamic stability of two dimensional layers or membranes, presence of grain boundaries on the substrate and the difference between the thermal expansion coefficients of graphene and substrate. Recently, various groups have exploited liquid copper surface in order to overcome these obstacles and grow high quality graphene by CVD method [1-3], yet this approach comprises hidden challenges [4]. Indeed, in our recent paper [5] we experimentally demonstrated that the thermocapillary and solutocapillary forces can initiate planar or convection instabilities on a Cu-C binary system at elevated temperatures and thereby, the topographic pattern formation on the graphene is governed by dynamics of these instabilities. Analysis of these non-equilibrium processes is presented here based on Mullins-Sekerka and Benard-Marangoni instabilities in diluted binary alloys. It appears that the wavelength of the instability puts a new upper limit in the domain size of graphene grown from the melt. However, these analyses offer new control tools over the ripple texturing through synthesis parameters such as temperature, temperature gradient, quenching rate, diffusion coefficients of carbon in the metal catalyst and the miscibility gap of the metal catalyst-carbon system. 1. D Geng, et al., “Uniform hexagonal graphene flakes and films grown on liquid copper surface” P.N.A.S. doi/10.1073/pnas.1200339109 (2012) 2. Y.A. Wu “Large single crystals of graphene on melted copper using CVD “ ACS Nano doi/10.1021/nn3016629 (2012) 3. M. Terrones “Contrllong the shapes and assemblages of graphene” P.N.A.S. doi/10.1073/pnas 120524109 (2012) 4. A.R. Harutyunyan “Uniform hexagonal graphene film growth on liquid copper surface: Challenges still remain” P.N.A.S (in press) 5. T.M. Paronyan, et al., “Formation of ripples in graphene as a result of interfacial instabilities” ACS Nano 5, 9619 (2011)
11:30 AM - *W8.06
Structured Graphene
Walter A de Heer 1
1Georgia Institute of Technology Atlanta USA
Show AbstractThe original concept of graphene electronics focused on carbon nanotube properties. Carbon nanotubes were known to be high mobility ballistic, phase coherent conductors and quantum confinement effects produced significant bandgaps. However, it turns out to be very difficult to develop nanotube electronics platform for a variety of reasons including fundamental physical constraints related to the quantum mechanical properties of the metal-to-nanotube contacts. Graphene electronics can in principle overcome the major problems because graphene structures can be patterned using conventional lithography and dissipation at contacts can be controlled. However, these developments rely on the premise that narrow, ballistic graphene ribbons can be produced. Experiments on conventionally patterned graphene structures produced from graphene that is deposited on insulating substrates have been discouraging. The graphene ribbon mobilities are so low due to edge roughness effects, to render this direction to be impracticable. On the other hand, graphene produced on silicon carbide turns has been found to be more immune to edge scattering problems. This effect is explained in terms of a topologically protected edge state that are in fact expected in essentially all graphene ribbons with reasonably straight edges. Moreover, recent developments of template grown graphene structures on silicon carbide are promising. Very narrow ballistic graphene ribbons that demonstrate electronic phase coherent properties, have been produced with these methods which again brings the original concept of graphene based nanoelectronics back into play. Recent developments in the science and technological implications of epitaxial graphene nanostructures will be reviewed.
12:00 PM - W8.07
Study on Interface between Graphene Domains Grown by Ambient-pressure CVD
Yui Ogawa 1 Kenji Kawahara 2 Masahiro Miyashita 1 Masaharu Tsuji 1 2 Hiroki Ago 1 2
1Kyushu University Kasuga Japan2Kyushu University Kasuga Japan
Show AbstractCVD growth of graphene on Cu foil has been widely studied because it enables large-scale growth with low cost. However, CVD graphene grown on Cu foil is polycrystalline and has many domain boundaries [1], which are due to the formation of a number of graphene nuclei having different orientations. The domain boundaries limit the physical properties of graphene so that it is quite important to investigate the domain structure of CVD graphene [2,3]. We previously demonstrated the orientation-controlled growth of graphene by employing hetero-epitaxial Cu and Co films [4-6], but it is unclear how the developing graphene domains merge together to form a uniform graphene film. In this study, we investigated the interface of adjacent graphene domains based on optical microscope, SEM, AFM, and Raman mapping measurements. Large hexagonal-shaped graphene domains with two main relative orientations, 0 degree and 30 degree, grown on the heteroepitaxial Cu(100) film were studied. Only when the orientation of neighboring domains is identical (0 degree), we observe a defect and wrinkle-free connection between domains. However, in some cases, a clear boundary was observed even for the 0 degree case, as was usually observed for the 30 degree-rotated domains. These results suggest that unifying the orientation of graphene domains is a possible approach for the seamless atomic connection. We will also discuss graphene mobility related to the formation of domain boundaries. Our work may offer a new approach for the synthesis of a single-crystalline graphene free from domain boundaries. [1] P. Y. Huang et al., Nature 469, 389 (2011). [2] Q. Yu et al., Nat. Mater. 10, 443 (2011). [3] C. M. Orofeo et al., Carbon 50, 2189 (2012). [4] H. Ago et al., ACS Nano 4, 1414 (2010). [5] B. Hu et al., Carbon 50, 57 (2012). [6] Y. Ogawa et al., J. Phys. Chem. Lett. 3, 219 (2012).
12:15 PM - W8.08
Epitaxial Graphene Nanoribbons Grown by Molecular Beam Epitaxy
Takashi Kajiwara 1 Yuzuru Nakamori 1 Masaya Takaki 1 Yoshihito Hagihara 1 Anton Visikovskiy 1 Satoru Tanaka 1 Kan Nakatsuji 3 Tsuguo Yoshimura 2 Shunsuke Yoshizawa 2 Fumio Komori 2
1Kyushu University Fukuoka Japan2The University of Tokyo Kashiwa Japan3Tokyo Institute of Technology Yokohama Japan
Show AbstractGraphene nanoribbons (GNRs) attract a lot of interests not only due to possible applications to future electronic devices but its unique electronic characteristics owing to the presence of edges. Depending on the edge character, zig-zag or arm-chair type, the electronic structure of GNRs shows different features from the one of 2D graphene [1]: a localized flat band and a band-gap, respectively. So far several approaches have been performed to fabricate GNRs, e.g. electron-beam lithography, unzipping of carbon nanotubes, chemical synthesis and so on. We here demonstrate new approach to obtain GNRs, where we utilize SiC substrate surface nanostructure as a template and molecular beam epitaxy (MBE). We found that such SiC surface nanostructures (SiC nano-surfaces) are organized during hydrogen etching at high temperatures when vicinal SiC(0001) substrate is used. Periodic nanofacet structures with typical ordering distances of 10-20nm are achieved [2, 3]. By the used of solid carbon source GNRs (exactly a (6radic;3×6radic;3)R30° layer [4], which is transformed to a graphene monolayer after the hydrogenation process [5]) can be formed on such SiC nano-surface at the initial stage of MBE growth, monitored by In-situ RHEED. Resultant GNRs after hydrogenation are examined by LEED, AFM, STM, polarized Raman spectroscopy, and angle-resolved photoemission spectroscopy (ARPES). In-situ RHEED observation indicates that an initial (radic;3×radic;3)R30°-Si structure on the SiC(0001) terraces is gradually transformed into (6radic;3×6radic;3)R30° as C atoms are supplied. The diffraction intensity of (radic;3×radic;3)R30° streaks is slightly increased at the initial stage, implies that the nucleation of a buffer layer occurs at (radic;3×radic;3)R30°-Si sites. Finally, the (radic;3×radic;3)R30° and (6radic;3×6radic;3)R30° streaks show zero and maximum intensity, respectively, indicating the whole terraces are covered by the 6radic;3 buffer layer. After hydrogenation the sample shows clear graphene Raman spectrum featuring intense D-band, whose intensity exhibits polarization dependence of the incident laser. This behavior is understood by the presence of arm-chair edges at GNRs. ARPES shows the valence band maximum at ~0.1-0.2eV below the Fermi energy, indicative of a band-gap opening. References [1] K. Nakada et al., Phys. Rev. B 54, 17954 (1996). [2] H. Nakagawa, S. Tanaka, and I. Suemune, Phys. Rev. Lett. 91, 226107 (2003). [3] M. Fujii and S. Tanaka, Phys. Rev. Lett. 99, 016102 (2007). [4] S. Tanaka, K. Morita and H. Hibino, Phys. Rev. B 81, 041406(R)(2010). [5] C. Riedl et al., Phys. Rev. Lett. 103, 246804 (2009). [6] L. Canccedil;ado et al., Phys. Rev. Lett. 93, 5-8 (2004).
12:30 PM - W8.09
Towards Growth Mechanisms of Graphene and Other 2D Nanostructures under Realistic CVD Conditions by Complementary In-situ, High Pressure XPS and XRD
Piran Ravichandran Kidambi 1 Robert S Weatherup 1 Bernhard C Bayer 1 Raoul Blume 2 Carsten Baehtz 3 Robert Schlogl 2 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2Fritz Haber Institute Berlin Germany3Forschungszentrum Dresden-Rossendorf Dresden Germany
Show AbstractA comprehensive understanding of the fundamental growth mechanisms during CVD of 2D nanostructures (graphene and other 2D materials) is essential to realise potential applications. Growth mechanisms have so far been based on simplistic models of elemental solubility of the constituent elements (eg: C) in metallic catalysts eg: Cu (low solubility - surface reaction) and Ni (high solubility - precipitation from bulk). These models are speculated based on ex-situ experiments but in-situ experimental evidence remains elusive. Using a combination of in-situ X-ray diffraction (XRD) 1 and high-pressure time and depth resolved in-situ X-ray photoelectron spectroscopy (XPS)1 at realistic CVD conditions of pressure (~0.001 - 1 mbar) and extreme temperatures (700-1000oC) we analyse the behaviour of poly-crystalline metallic catalyst films (eg: Cu, Ni, Co) for 2D nanostructure growth during both gaseous and liquid precursor exposures. These measurements allow for a clear understanding of elemental incorporation in the nanostructure as it happens by identifying the catalyst state at any point of time during CVD. These coupled with ex-situ experiments allows for development of growth mechanisms based on experimental evidence, avoiding the need for speculation. Further, we study graphene growth directly on a dielectric (HfO2) and compare graphitic carbon growth mechanisms for metallic catalysts and novel oxide catalyst systems2,3 with an inherently low carbon solubility. 1. In Situ Characterization of Alloy Catalysts for Low-Temperature Graphene Growth, Weatherup R.S., Bayer B.C., Blume R, Ducati C., Baehtz C., Schlögl R., and Hofmann S. Nano Letters. 11, 4154 (2011) 2. Nanoscale Zirconia as a Non-Metallic Catalyst for Graphitization of Carbon and Growth of Single- and Multi-Wall Carbon Nanotubes, Steiner III S. A., Baumann T. F., Bayer B. C., Blume R., Worsley M.A., Moberlychan W. J., Shaw E. L., Schloegl R., Hart A. J., Hofmann S., Wardle B. L., JACS 131, 12144 (2009). 3. Hafnia nanoparticles - a model system for graphene growth on a dielectric, Kidambi P.R., Bayer B.C., Weatherup R.S., Ochs R., Ducati C, Szaboacute; D.V., Hofmann S., Physica Status Solidi - RRL. 5, 9, 341-343 (2011).
12:45 PM - W8.10
Direct Production of Highly Conductive Graphene Sheets with Controlled Sizes and Nanostructures
Mehulkumar Patel 1 Pui Lam Chiu 1 Daniel Mastrogiovanni 2 Dongguang Wei 3 M.Reza Khoshi 1 Eric Garfunkel 2 Huixin He 1
1Rutgers University Newark USA2Rutgers University Piscataway USA3Carl Zeiss SMT, Inc. Peabody USA
Show AbstractDue to its excellent electronic, thermal and mechanical properties, and its large surface area and low mass, graphene holds great potential for a range of applications. While extensive efforts have focused on enabling cost effective mass production of solution-processable graphene sheets, the dominant approaches rely upon Hummer&’s or modified Hummer&’s methods. These methods involve tedious and time consuming procedures. In brief, one must first oxidize graphite powder, exfoliate the oxidized product to form nonconductive graphene oxide (GO) suspensions, and finally reduce it to recover some fraction of its electrical conductivity. Here, we report a quick and scalable strategy to directly produce highly conductive graphene sheets with controlled porous structures and controlled sizes from a few nanometers to tens of micrometers. Our method is to lightly oxide the aromatic network using nitronium ions and microwave irradiation. By controlling the duration and power of the microwave irradiation and the nitronium ion concentration, graphene sheets with controlled sizes from a few nanometers to tens of micrometers can be directly fabricated without the requirement of a reduction process. These sheets are thermally stable, highly conductive, and are having higher photothermal efficiency. Even in the absence of polymeric or surfactant stabilizers, high concentrations of graphene dispersions with clean and well separated graphene sheets can be obtained both in aqueous and organic solvents. This greener, rapid and scalable approach produces high quality graphene sheets, enabling a broad spectrum of applications by low-cost solution processing techniques.
Symposium Organizers
John J. Boeckl, Air Force Research Laboratory
Krzysztof Koziol, University of Cambridge
Wonbong Choi, Florida International University
Young Hee Lee, "Sungkyunkwan University Advanced Institute of Nanotechnology"
Jud Ready, Georgia Tech Research Institute
Symposium Support
Agilent Technologies
Air Force Research Laboratory
AIXTRON SE
Angstrom Engineering Inc.
Asian Office of Aerospace RD
Asylum Research
Bluestone Global Tech, Inc.
European Office of Aerospace RD
Graphene Platform
Graphenea Nanomater
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
2:30 AM - W14.01
Influence of Adsorbed Species on the Electronic Transport in Carbon Nanocomposites Materials for Lithium Batteries
Jean-Claude Badot 1 Kalid Seid 2 Dominique Guyomard 3 Bernard Lestriez 3 Stephane Levasseur 4
1CNRS - Chimie Paris Tech Paris France2CNRS Gif sur Yvette France3CNRS Nantes France4UMICORE Brussels Belgium
Show AbstractThe broadband dielectric spectroscopy (BDS) technique (40 Hz to 10 GHz) is used here to measure the electronic transport across all observed size scales of composite materials for lithium batteries containing each an active material (e.g. carbon-coated LiFePO4) and a polymeric binder. Since all the polarizations at different scales are additive owing to their vectorial character, their contributions (relaxations) can thus be evidenced by a decomposition procedure of the Nyquist plots (ε” vs. ε&’). Data acquisitions as function of temperature were also carried out, in order to determine the activation energies of the conductivity and relaxation frequencies at the different scales of the materials architectures. In the case of the carbon-coated LiFePO4 (C-LiFePO4), the carbon coating thickness (2 to 4 nm) and the ratio sp2/sp3 (in the coating) modify the electron transfer in the material. Different electrical relaxations are evidenced, resulting from the polarizations at the different scales of the architecture: (a) intra-sp2 domains, (b) nanometric (electron hopping from sp2 to sp2 domains in carbon coating around LiFePO4 particles), (c) clusters, and (d) sample/current collector interface. The carbon-coating conductivity and the electron hopping frequency from sp2 domain to another increase with the ratio sp2/sp3. Moreover, the influence of the polymeric binder (sodium-carboxymethylcellulose) on the coating conductivity and electron hopping has been evidenced. These later parameters rise with the binder volume fraction. This phenomenon would be due to an electron trapping by the adsorbed species at the surface of the coating. Financial funding from UMICORE and the ANR program n° ANR-09-STOCK-E-02-01 is acknowledged.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
2:30 AM - *W15.01
Graphene Synthesis on SiC and Silicon Substrates for Electronic Applications
Michael A Capano 1 2 3 Benjamin M. Capano 3 Dallas T. Morisette 3
1Purdue University West Lafayette USA2Purdue University West Lafayette USA3Group 4 Development, LLC West Lafayette USA
Show AbstractThis presentation reviews synthesis of epitaxial graphene by sublimation of silicon carbide (SiC) in a chemical vapor deposition (CVD) environment over the past several years. Characteristics of graphene grown in an Epigress VP508 reactor at Purdue are presented with emphasis on the SiC carbon face. The kinetics of thermal decomposition in vacuo or argon environments are examined to better understand the surface phase transformation of C-face 4H-SiC into graphene. Pressure-temperature-time plots are constructed which describe conditions under which the 4H-SiC C-face surface transforms into graphene. Data pertaining to processing conditions for graphene are used to explore structure/property relationships for C-face graphene. Graphene structure is examined using numerous analytical methods, including scanning probe microscopy, Raman spectroscopy, x-ray scattering and transmission electron microscopy. Results obtained from analyses using these methods provide information about the general quality of C-face graphene, and defects that are present in the material. Hall Effect measurements at 4 K are performed to determine carrier concentrations and mobility. Carrier mobility in excess of 18,000 cm2/Vs have been measured. Transport data are correlated with structural characteristics derived from the above-mentioned material analyses. Finally, prospects for direct deposition of graphene onto various substrates (without the use of layer transfer) are explored.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
2:30 AM - *W16.01/II16.01
First-principles and Tight-binding Quantum Chemical Molecular Dynamics Simulations on Tribochemical Reaction Dynamics of Diamond-like Carbon System
Momoji Kubo 1
1Tohoku University Sendai Japan
Show AbstractDiamond-like carbon (DLC) has gained much attention as a super-low friction material for automotive engines, aerospace equipments, and so on. The detailed understanding of the tribochemical reactions of the DLC is strongly required for clarifying its super-low friction mechanism and designing more efficient super-low friction materials. Here, classical molecular dynamics simulation is frequently employed to investigate the friction behaviors on atomic scale. However, the classical molecular dynamics method cannot simulate the chemical reaction dynamics. Therefore, we developed our original first-principles molecular dynamics simulator “Violet” [1] and tight-binding quantum chemical molecular dynamics simulator “Colors” [2] for the elucidation of the tribochemical reaction dynamics. First, we applied our first-principles molecular dynamics simulator to the investigation on the tribochemical reaction dynamics of the H-terminated DLC and clarified that the hydrogen-hydrogen repulsion at the interface leads to the super-low friction of the DLC. Our tight-binding quantum chemical molecular dynamics simulations on the larger models also support the above conclusion. Moreover, our tight-binding quantum chemical molecular dynamics simulations suggest the formation of H2 molecule at the friction interface by the tribochemical reaction of hydrogen atoms which terminate carbon atoms [3]. The super-low friction coefficient of 0.07 is realized after the formation of H2 molecule. Then we suggest that the formation of vapor phase at the DLC-DLC interface is the reason for the super-low friction of the DLC. Furthermore, we investigated the tribochemical reaction dynamics of the OH-terminated DLC. The friction coefficient of the OH-terminated DLC is significantly lower than that of the H-terminated DLC under a severe condition of 7GPa load. It suggests that the OH-terminated DLC can solve the instability problem of the H-terminated DLC under a very severe condition [4]. We also simulated the tribochemical reaction dynamics of the H-terminated DLC under methanol condition [5]. The simulation results show that the methanol molecules decomposed at the friction interface and then the OH-terminations are observed. Therefore, we concluded that the methanol condition is very effective to achieve the super-low friction because the OH-termination is realized by the tribochemical reactions of methanol molecules. [1] T. Shimazaki and M. Kubo, Chem. Phys. Lett., 503 (2011) 316. [2] M. Koyama, M. Kubo, A. Miyamoto et al., J. Phys. Chem. B, 110 (2006) 17507. [3] K. Hayashi, M. Kubo et al., J. Phys. Chem. C, 115 (2011) 22981. [4] K. Hayashi, M. Kubo et al., in preparation. [5] K. Hayashi, M. Kubo et al., Faraday Discuss., in press.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
2:45 AM - W14.02
Ultrathin Graphite Foam: A Three-Dimensional Conductive Network for Battery Electrodes
Rodney Ruoff 1 Hengxing Ji 1 Lili Zhang 1 Michael Pettes 1 Li Shi 1 Huifeng Li 1 Shanshan Chen 1 Richard Piner 1
1the University of Texas at Austin Austin USA
Show AbstractLithium ion batteries (LIBs) are known to suffer from kinetic problems due to the low Li-ion/electron conductivity of the electrode. A 3D interconnected network of both electron and ion pathways is an ideal electrode architecture for tackling this problem owing to its ability for efficiently exchanging charge and mass that occur when charging/discharging the battery. However, the fabrication of these electrode structures usually requires complex procedures depending on the specific electrochemically active material that is used, and the electrochemically inactive material required for structural support significantly increases the mass and reduces the energy density of the electrode. It is now possible to fabricate highly electrically conductive and lightweight ultrathin graphite foam (UGF). The UGF can be readily integrated with different cathode materials that are commercially available by using a process close to that used in the LIB industry.1 At a high charge/discharge current density of 1280 mA gminus;1, the specific capacity of the lithium iron phosphate (‘LFP&’) loaded on UGF was 70 mAh gminus;1, while LFP loaded on Al foil failed. Accounting for the total mass of the electrode, the maximum specific capacity of the UGF/LFP cathode was 23% higher than that of the Al/LFP cathode and 170% higher than that of the Ni-foam/LFP cathode. Using UGF, both a higher power and energy density can be achieved simultaneously, owing to its conductive (sim;1.3 × 105 S mminus;1 at room temperature) and three-dimensional lightweight (sim;9.5 mg cmminus;3) graphitic structure. UGF also has excellent electrochemical stability compared to that of Al or Ni foils, which are generally used as conductive substrates in lithium ion batteries. The UGF electrode can be prepared in a facile and cost-effective way and it is compatible with various electrochemically active materials. We appreciate support from the U.S. Department of Energy (DOE) under award DE-SC001951 and ARPA-E contract DE-AR0000178. References [1] Hengxing Ji, Lili Zhang, Michael T. Pettes, Huifeng Li, Shanshan Chen, Li Shi, Richard Piner, and Rodney S. Ruoff, Nano Letters, 2012, 12, 2446-2451.
3:00 AM - W14.03
Wrapping Graphene on Anatase TiO2 Nanofibers and Their Application as Superior Anode for Lithium-ion Batteries
Xiang Zhang 1 2 V. Aravindan 2 P. Suresh Kumar 2 Huihui Liu 3 S. T. Nguyen 1 T. H. Nguyen 1 G. Subodh Mhaisalkar 2 Hai Minh Duong 1 Srinivasan Madhavi 2 Seeram Ramakrishna 1
1Nanoscience amp; Nanotechnology Initiative, NUS Singapore Singapore2NTU Singapore Singapore3NUS Singapore Singapore
Show AbstractWe demonstrate the synthesis of graphene wrapped anatase TiO2 nanofibers (GW TiO2 nanofibers) and electrochemical performance of the GW TiO2 nanofibers as anode materials in lithium ion batteries. The GW TiO2 nanofibers was assembled by functionalized TiO2 nanofibers and graphene oxide via electrostatic interaction, followed by heating in reduce gas. Structural and morphological properties were characterized by various techniques, such as X-ray diffraction, scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy and BET surface area analysis. Lithium insertion properties were evaluated by both galvanostatic and potentiostatic modes in half-cell configurations. Cyclic voltammetric study reveals the Li-insertion/extraction by two-phase reaction mechanism that is supported by galvanostatic charge-discharge profiles.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
3:00 AM - W15.02
Tunneling Conductances for a Doped Graphene and Multilayer Graphenes
Jongbae Hong 1
1Postech Pohang Republic of Korea
Show AbstractA doped graphene and multilayer graphenes may belong to a strongly correlated electron system whose tunneling conductance, i.e. the dI/dV lineshape, is not fully understood yet. We show that two side peaks and suppressed zero-bias peak observed in a doped graphene and multilayer graphenes are the characteristic features of the tunneling conductance of a sample with strong electron correlation, which does not reflect the sample density of states. We show that two side peaks are formed by elastic resonant tunnelling between sample and STM tip or lead and discuss when the zero-bias peak is suppressed. Our theoretical line shapes remarkably fit the experimental data provided by Brar et al. for doped graphene [1], Freitag et al. for bilayer graphene [2], and Bao et al. for trilayer graphene [3]. [1] Brar et al. Phys. Rev. Lett. Vol. 104, 036805 (2010). [2] Freitag et al. Phys. Rev. Lett. Vol. 108, 076602 (2012). [3] Bao et al. Nat. Phys. Vol. 7, 948 (2011).
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
3:00 AM - W16.02/II16.02
Novel Nanocarbon Hybrids of Carbon Nanotubes and Detonation Nanodiamond: Structural and Physical Properties and Hierarchical Defects Evolution Irradiated with Gamma Rays
Sanju Gupta 1 2 John Farmer 3
1Drexel University Philadelphia USA2U Penn Philadelphia USA3University of Missouri Columbia USA
Show AbstractWe present the microscopic structure, physical properties and defects evolution in novel nanocarbon hybrids of single- and multi-walled carbon nanotubes (SW and MWCNTs) and detonation nano diamond forming truly tetragonal-trigonal nanocomposite ensemble that were subjected to 50, 100 and 103 kGy gamma ray doses. They were solution cast as thin films and characterized using various analytical tools to investigate hierarchical defects evolution much below threshold. This work is a summary of our recent work [Gupta et al. J. Appl. Phys. 107 (2010) and Gupta et al. J. Appl. Phys. 106 (2009)] where radiation-induced microscopic defects seem to be stabilized by UDD, especially in the case of SWCNTs as compared with MWCNTs when subjected to the maximum irradiation dose. Quantitative analyses of multi-wavelength Raman spectra assessed through variation in the prominent D, G and 2D Raman bands elucidated radiation-induced microscopic defects. A minimal change in the position of D, G and 2D bands and a marginal increase in intensity of the defect induced double resonant D band and Raman active 2D band are some of the implications suggesting combined radiation coupling and resilience. The in-plane correlation length (La) was also determined following TK relation from the ratio of D to G band (ID/IG) besides microscopic stress/strain. Moreover, we also suggest the following taking into account of intrinsic defects of the constituents: a) charge transfer arising at the interface due to the difference in electro-negativity of CNT C-sp2 and UDD core (C-sp3) leading to phonon and electron energy renormalization; b) mis-orientation of C-sp2 at the interface of MWCNT and UDD shell (C-sp2) resulting in structural disorder; c) softening or violation of the q ~ 0 selection rule leading to D band broadening and a minimal change in G band intensity; and d) normalized intensity of D and G bands with 2D band help to distinguish defect-induced double resonance phenomena. Furthermore, an attempt was made to identify the nature of defects (charged versus residual) and it seemed the electrical properties were more labile to irradiation than structural properties. This work was primarily conducted at the University of Missouri and MURR while the author (S.G.) was working there.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
3:15 AM - W14.04
Carbon Nanotubes Grown on Porous Hollow Carbon Sphere and Its Applications to Lithium-sulfur Battery
Hiesang Sohn 1 Terrence Xu 1 Mikhail Gordin 1 Donghai Wang 1
1Pennsylvania State University University Park USA
Show AbstractLithium-sulfur batteries are very attractive candidates for power sources owing to the high theoretical capacity (1675 mAh/g) and energy density (2600 Wh/kg) of sulfur. Nevertheless, the utilization of sulfur cathode is limited due to fast capacity fading resulted from the dissolution of lithium polysulfides, large volumetric expansion of sulfur (80%), and the insulating nature of Li2S. Efficient trapping of polysulfides is highly desired to improve the cycle life of Li-S batteries. In this context, carbon materials such as porous carbon, hollow carbon sphere and carbon nanotube (CNT) are attractive to improve the sulfur utilization by restraining the solubility of lithium polysulfides and providing conductive paths for electrons. Porous carbon spheres are able to effectively constrain sulfur molecule into the pores due to its large pore volume and pore structure. The high aspect ratio of carbon nanotube reduces the random diffusion of polysulfides and the thin carbon wall allows fast transport of lithium ions. Combination of advantages of CNT and porous carbon may result in novel class of material for sulfur cathode. However, such a material has not been reported owing to difficulty of synthesis. Herein, we present a porous carbon/CNT-sulfur composite (particle size: ~1 µm, CNT diameter: 8~10 nm) with high capacity and cycling stability. The porous carbon-CNT with good electrical conductivity, high surface area and pore volume was prepared using a continuous and scalable aerosol-assisted process followed by calcination. A sulfur-carbon sphere composite (sulfur 70 wt%) was prepared by thermal infusion of sublimed sulfur into porous carbon-CNT spheres at 155 °C. The composite of sulfur embedded in porous carbon-CNT provides with 1) better inter-particle contact and resistance to volume change of sulfur during the charge/discharge process; 2) a short transport pathway for both electrons and Li ions to achieve high capacity; 3) a large conductive surface area for depositing lithium sulfide thereby minimizing the shuttle effect. The electrochemical performances of carbon/CNT-sulfur composite are evaluated and discussed. From galvanostatic discharge-charge process, the porous carbon/CNT-sulfur composite shows high reversible capacity of 630 mAh/g after 20 cycles between 1.5-3.0 V (vs. Li/Li+) at 0.1 C (1C: 1675 mA/g). Such a good electrochemical performance is attributed to unique pore structure and composition which prevents the aggregation of sulfur particle and enhances the electrical connectivity and integration of the active electrode materials. In addition, the highly conductive porous carbon and CNT scaffold provides fast ion transport pathway as well as buffers shuttling of lithium sulfide during charge and discharge. The unique properties of this composite and their simple fabrication make this class of materials attracnot;tive for further investigation for Li-S batteries applications.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
3:15 AM - W15.03
Selective Enhancement of Field-effect Mobility in Conjugated Polymer/Doped Carbon Nanotube Complex
Kilho Yu 1 2 Junghwan Kim 1 2 Geunjin Kim 2 3 Kwanghee Lee 1 2 3
1Gwangju Institute of Science and Technology Gwangju Republic of Korea2Gwangju Institute of Science and Technology Gwangju Republic of Korea3Gwangju Institute of Science and Technology Gwangju Republic of Korea
Show AbstractWe report significantly enhanced field-effect mobility of poly(3-hexylthiophene) (P3HT) field-effect transistors (FETs) by introducing boron-doped multi-walled carbon nanotubes (B-CNTs) into a P3HT semiconducting layer. Since B-CNTs have an electrostatic repulsion with neighboring B-CNTs due to the local positive charges of boron atoms, they can be homogeneously dispersed in solution, and also in the semiconducting layer after spin-casting, while undoped CNTs tend to be gathered forming undesired aggregates. In addition, because B-CNTs have excellent hole-selective transport property, they can further facilitate hole transport than undoped CNTs. In this work, organic FETs comprising a P3HT/B-CNT (1.0 wt%) composite layer show high field-effect mobility of 0.05 cm2/Vs, which is almost 20-fold greater than that of the pure P3HT FET (2.9 × 10-3 cm2/Vs), and 4-fold greater than that of the P3HT/undoped CNT FET, respectively. The X-ray diffraction data indicates that the size of crystalline P3HT domain is gradually reduced with increasing B-CNT concentration. However, the mobility of the P3HT/B-CNT FETs continuously increases when the concentration of B-CNT reaches up to 10 wt%. This fact implies that the homogeneously dispersed B-CNTs in polymer matrix act as selective conduction bridges for hole transport between the crystalline P3HT domains.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
3:15 AM - W16.03/II16.03
Carbon Solubility in Nickel Nanoparticles Controls Wetting Properties and Growth of Single Wall Carbon Nanotubes
Mamadou Diarra 1 2 Hakim Amara 2 Francois Ducastelle 2 Christophe Bichara 1
1CNRS and Aix Marseille University Marseille France2CNRS and Onera Chatillon France
Show AbstractA rational control of the structure of Single Wall Carbon Nanotubes during their synthesis is highly desirable, but currently limited by our poor understanding of nucleation and growth mechanisms and the lack of direct evidence on the actual state of the catalyst particle / nanotube interface. To progress towards an atomic scale understanding, we use a carefully assessed tight binding model for nickel and carbon [1, 2] to numerically investigate different aspects of the CCVD synthesis process. Owing to significant technical improvements of our grand canonical Monte Carlo code [2], we can extend our previous calculations [3] of carbon adsorption isotherms to nanoparticles (NPs) up to 807 Ni atoms, in a broad temperature range. We thereby study the carbon solubility and physical state of the metal catalyst as a function of size, temperature and carbon chemical potential conditions corresponding to nucleation and growth of SWNTs. Combining experimental information from Transmission Electron Microscopy and atomistic computer simulation, we try and understand the relation between the diameters of the tube and the metallic NP from which it grows [4]. We then study the wetting of the NPs with respect to sp2 carbon walls, that strongly depends on carbon concentration, and emphasize its role in the growth of tubes. This enables us to identify conditions leading to experimentally observed situations: aborted growth by encapsulation of the metal NP with carbon, growth termination by detachment of the tube from the NP and continuous growth under mild carbon chemical potential, temperature and feeding rate conditions. [1] H. Amara, J. M. Roussel, C. Bichara, J.-P. Gaspard and F. Ducastelle, Phys. Rev. B 79, 014109 (2009). [2] J. H. Los, C. Bichara and R. Pellenq, Phys. Rev. B 84, 085455 (2011). [3] H. Amara, C. Bichara and F. Ducastelle, Phys. Rev. Lett., 100, 056105 (2008). [4] M.-F. C. Fiawoo, A.-M. Bonnot, H. Amara, C. Bichara, J. Thibault-Pénisson and A. Loiseau, Phys. Rev. Lett. 108, 195503 (2012).
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
3:30 AM - W14.05
High Rate Electrochemical Capacitors from Three - Dimensional Arrays of Vanadium Nitride Functionalized - Carbon Nanotubes
David Mitlin 1 Li Zhang 1 Chris Holt 1 W. Peter Kalisvaart 1
1University of Alberta and NINT NRC Edmonton Canada
Show AbstractA facile methodology is developed to directly synthesize three-dimensional (3D) electrochemically supercapacitive arrays, consisting of multi-walled carbon nanotubes conformally covered by nanocrystalline vanadium nitride, firmly anchored to glassy carbon or Inconel electrodes. These nanostructures demonstrate a respectable specific capacitance of 289 F g-1, which is achieved in 1 M KOH electrolyte at a scan rate of 20 mV s-1. The well-connected highly electrically conductive structures exhibit a superb rate capability; at a very high scan rate of 1000 mV s-1 there is less than a 20% drop in the capacitance relative to 20 mV s-1. Such rate capability has never been reported for VN, and is highly unusual for any other oxide or nitride. These 3D arrays also display nearly ideal triangular voltage profiles during constant current charge-discharge cycling. Analysis of the post-electrochemically-cycled samples indicates negligible changes occurring in the VN nanocrystallite morphology, but a modification in the structure of the oxidized surface. We envision that the direct synthesis approach employed in this study may serve as a “drop-in” platform for large-scale commercial fabrication of a variety of carbon nanotube-supported functional materials that require excellent electrical conductivity to the underlying support.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
3:30 AM - *W16.04/II16.04
Grain Boundaries in Graphene - Theory and Microscopy
Sokrates T Pantelides 1 2 3 Wu Zhou 1 3 Bin Wang 1 Yevgenyi Puzyrev 1 Jaekwang Lee 3 1 Andrew J Lupini 3 Stephen J. Pennycook 3 1 Idrobo Carlos Juan 3 1
1Vanderbilt University Nashville USA2Vanderbilt University Nashville USA3Oak Ridge National Laboratory Oak Ridge USA
Show AbstractWe use a combination of theory (density functional calculations and simulations using classical potentials) and experiments (Z-contrast imaging & electron energy-loss spectroscopy using aberration-corrected scanning transmission electron microscopy) to probe the structure and electronic properties of grain boundaries in graphene and the interactions of grain boundaries with point defects. Grain boundaries exhibit an alternation of pentagonal and heptagonal rings where the local strain is compressive and extensive, respectively. Vacancies are attracted at pentagons and carbon interstitials are attracted at heptagons [1]. In both cases the point defects merge in the grain boundary and release strain. The grain boundaries also mediate vacancy-interstitial annihilation. Furthermore, grain boundaries attract O adatoms and bind them more strongly. Cooperative activity among O atoms can lead to preferential etching in grain boundaries. Z-contrast images obtained with an aberration-corrected scanning transmission electron microscope reveal twisted grain boundaries that undergo continuous evolution under the electron beam via conversion of pentagon-heptagon ring pairs into hexagon-hexagon ring pairs and vice versa. Molecular dynamics simulations using classical potentials probe the stability of straight boundaries and the activation energies for the observed evolution. Electron-energy-loss spectroscopy in the low-loss region (valence excitations) has been used to explore plasmon localization, a phenomenon that was recently observed to occur at impurities and grapheme edges [2]. The potential for grain boundaries acting as plasmonic wave guides will be discussed. An image of a highly symmetric grain boundary loop has been obtained and its structural, electronic, and magnetic properties have been explored by theory, including the possibility that single impurity atoms at the center of the loop may impart unique properties to this topological defect. This research was supported by NSF grant No. DMR-0938330 (WZ, J-CI), DOE grant DE- F002-09ER46554 (STP), by ORNL&’s Shared Research Equipment (ShaRE) User Facility, Oak Ridge National Laboratory, which is sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences (J-CI), by the Office of Basic Energy Sciences, Materials Sciences and Engineering Division, U.S. Department of Energy (SJP, JL,STP), and by the Defense Treat Reduction Agency grant HDTRA1-10-1-0016 (BW,YP,STP) [1] B. Wang, Y. Puzyrev and S. T. Pantelides, “Strain-enhanced defect reactivity at grain boundaries in polycrystalline graphene”, Carbon 49, 3983 (2011). [2] W. Zhou, J. Lee, J. Nanda, S. T. Pantelides, S. J. Pennycook, and J.-C. Idrobo, “Atomically localized plasmon enhancement in monolayer grapheme”, Nature Nanotechnol. 7, 161 (2012).
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
3:45 AM - W14.06
High Energy Supercapacitor Based on Carbon Electrodes Operating in Conjugated Redox Couples Solutions as Electrolyte
Krzysztof Fic 1 Mikolaj Meller 1 Grzegorz Lota 1 Elzbieta Frackowiak 1
1Poznan University of Technology Poznan Poland
Show AbstractEnergy storage phenomenon in supercapacitors (applied in high power demanding devices is generally attributed to electrical double layer charging, formed on electrode/electrolyte interface. Carbon materials, due to their well developed surface area, seem to be most promissing materials for supercapacitor electrodes. Unfortunately, capacitance revealed by these materials is still relatively small. However, if apart from double layer charging/discharging, some additional charge from faradaic reactions will be provided, the capacitance increases rapidly. This kind of additional capacitance, called pseudocapacitance, might be provided by enriching carbon materials in heteroatoms or applying carbon-transition metal oxides composites as electrode materials and assembling supercapacitor in asymmetric system. This study follows the completely novel insight on pseudocapacitance phenomenon, because an additional charge comes from electrolyte solution, not from electrode bulk. First study reported by our team concerns alkali metal iodides aqueous solution. Carbon electrodes, operating in these solutions can even reach the values of 2272 F/g (for 1 mol/L RbI solution), but supercapacitor capacitance does not exceed the value of 220 F/g, due to poor capacitance of counter electrode which determines the total capacitance of supercapacitor. Hence, huge capacitance of positive electrode remains unexploited. This work, focused on carbon electrodes with pseudocapacitive phenomena, reveals intriguing behavior of iodide/vanadyl system. These redox couples, when applied in solution as supercapacitor electrolyte, allows to exploit capacitance of positive electrode (operating in iodide solution) and significantly improve the capacitance of negative one (operating in vanadyl solution). Full electrochemical characterisation of activated carbon as well as activated carbon/multiwalled carbon nanotubes electrodes operating in conjugated redox couples solutions (1 mol/L KI and 1 mol/L VOSO4) reveals unusual capacitance values, being about 650 F/g for total system. This value significantly improves the energy of supercapacior, even to the level of 20 Wh/kg, which is the highest reported value for aqueous medium. Galvanostatic charge/discharge investigation, performed in wide range of current density (0.2 - 20 A/g) proved a good electrochemical behaviour of this capacitor. Cyclic voltammetry performed in range of scan rates 1-20 mV/s, reveals faradaic character of capacitance. Electrochemical impedance spectroscopy (100 kHz - 1 mHz) confirmed good charge propagation and small charge transfer resistance. These advantages are followed by very stable cyclability (about 10% of capacitance decay after 5000 charge/discharge cycles), small self-discharge and low leakage currents. Additionally, aqueous medium is much more environmental friendly and significantly cheaper than organic one, usually applied for high energy supercapacitors.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
4:00 AM - *W15.04
Graphene on SiC, and in Particular on the C-terminated (000-1) Surface
Leif I Johansson 1 J. Hassan 1 C. Xia 1 A. A. Zakharov 2 S. Watcharinyanon 1 Erik Janzen 1 R. Yakimova 1 C. Virojanadara 1
1Linkoping University Linkoping Sweden2Lund University Lund Sweden
Show AbstractGraphene grown on SiC by thermal sublimation of Si atoms is considered a most promising route to obtain homogeneous large area graphene sheets on a semi-insulating substrate, suitable for development of graphene-based nanoelectronics. When grown in a furnace using a gas ambient, or confinement controlled sublimation, large sheets of monolayer graphene can be produced on the Si-terminated SiC(0001) surface. The carbon buffer layer, present on this surface, can be effectively decoupled by intercalation of hydrogen, or other atoms, so large area bi-layer graphene samples can be obtained. There is a general consensus concerning the experimental findings for graphene on Si-face SiC. This is not the case for graphene grown on the C-terminated SiC(000-1) surface. Whereas Si-face graphene exhibits sharp spots in LEED and the Bernal stacking, multilayer graphene on the C-face has been reported to stack in such a way that adjacent graphene layers are rotated with respect to each other. This rotational disorder was suggested to explain why multilayer graphene show single layer electronic properties, i.e. a single π-band. We recently prepared graphene in a furnace at higher temperatures, 1800-2000°C, than commonly utilized earlier for C-face SiC. We found formation of mu;m sized crystallographic domains, which exhibited sharp (1x1) spots in mu;-LEED and six Dirac cones in the Brillouin zone in recorded photoelectron angular distribution patterns. Adjacent domains showed different azimuthal orientations so macro-LEED patterns mimicked earlier published ring-like LEED patterns. Our findings clearly showed that within a domain adjacent layers are not rotationally disordered. Therefore we prepared graphene samples on C-face SiC at 1400-1600°C, i.e. at temperatures utilized by other groups, and investigated them using LEEM, XPEEM, XPS and ARPES. Also these showed the presence of mu;m sized crystallographic domains of few layers well ordered graphene. Effects of Na exposures on the electron band structure of C-face graphene were also studied using ARPES. These results will be presented and discussed in view of earlier findings.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
4:30 AM - W14.07
Application of Graphene Gels in the Work Electrode, Electrolyte and Counter Electrode of High-performance Dye-sensitized Solar Cells
Huiqin Zheng 1 Chin Yong Neo 1 Ouyang Jianyong 1
1National Univ Singapore Singapore Singapore
Show AbstractDye-sensitized solar cells are regarded as the next-generation solar cells. A dye-sensitized solar cell include a work electrode, a counter electrode and electrolyte between the two electrodes. In this paper, we report the application of graphene gels in all the three parts of dye-sensitized solar cells. The graphene gels lower the device fabrication cost by facilitating the device fabrication and replacing expensive materials. Our iodide/triiodide dye-sensitized solar cells with graphene as the counter electrode can have a photovoltaic efficiency of 7.2%, the highest for the iodide/triiodide dye-sensitized solar cells with graphene as the counter electrode.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
4:30 AM - W15.05
Hexagonal Boron Nitride (h-BN): Functional, Scalable and Robust Gate Dielectric for High-performance Graphene Electronics
Nikhil Jain 1 Christopher Durcan 1 Yang Xu 2 Bin Yu 1
1State University of New York Albany USA2Zhejiang University Hangzhou China
Show AbstractWe explore fundamental materials properties of hexagonal boron nitride (h-BN) as a new class of gate dielectric for high-performance graphene electronics. h-BN is an insulating isomorph of graphene with two-dimensional layered structure (weak van der Waals interactions between monolayers). While h-BN has been demonstrated as an ideal substrate material for graphene electronics, we here demonstrate that ultra-thin h-BN multilayers can also be used as highly functional, scalable, and robust gate dielectric material. Layered h-BN provides a smooth planar surface free of dangling bonds (therefore extremely low interface state density) and helps to avoid the formation of charge traps or electron-hole puddles in graphene channel. Ultra-low tunneling leakage current and very high breakdown electric field (up to 18 MV/cm) across the dielectric are measured, demonstrating the robust nature of the 2D layered dielectric material. Carrier mobility in graphene channel as high as ~20,000 cm2/V-s is measured at room temperature in a unique locally gated transistor architecture in which h-BN multilayer serves as both gate dielectric and supporting substrate.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
4:30 AM - W16.05/II16.05
Controlling the Crystalline Quality of Single-walled Carbon Nanotubes Grown by Catalytic Chemical Vapor Deposition
Vincent Jourdain 1 Matthieu Picher 1 Hugo Navas 1 Raul Arenal 2 Etienne Quesnel 3 Eric Anglaret 1
1Universitamp;#233; Montpellier 2 - CNRS Montpellier France2U. Zaragoza Zaragoza Spain3CEA Grenoble France
Show AbstractCatalytic chemical vapor deposition (CCVD) is the most widely used technique for growing single-walled carbon nanotubes (SWCNTs). It is also the most promising one in terms of upscaling and structural control. However, it is commonly observed that CCVD-grown SWCNTs contain defects. Controlling the defect density of SWCNTs and graphene-like materials in general is a key issue for the control of their properties. We will report on the influence of the CCVD parameters on the defect density of SWCNTs using in situ and ex situ Raman analyses and HR-TEM observations [1,2]. In situ Raman monitoring was notably used to determine experimental conditions allowing the preparation of SWCNT samples free of pyrolytic carbon and not altered by air exposure. Using the Raman D band to quantify the SWCNT defect density brought two unexpected results. First, the evolutions of the G/D ratio with the synthesis temperature display two distinct Arrhenius-type regimes. Second, these Arrhenius plots systematically display a convex shape, that is a lower apparent activation energy with increasing temperature. HRTEM and Raman analyses support that long and straight SWCNTs with few -curvature-inducing defects are grown at high temperature while much shorter and more tortuous SWCNTs and carbon nanostructures are grown at low temperature. Kinetic models were tested to reproduce the experimental evolution of the G/D ratio as a function of the growth parameters. We found that two different models can account for the convex shape of the Arrhenius plots: (i) a model considering a change of intermediate states as a function of the temperature (for instance due to a phase transition of the catalyst particle) and (ii) a model considering a high-temperature process of defect creation (for instance by reaction with foreign gas species). The implications of both hypotheses for the growth mechanism of SWCNTs will be discussed. [1] Picher et al., Nanoletters (2009), 9, 542. [2] Picher et al., Carbon (2012), 50, 2407.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
4:45 AM - W14.08
Carbon Nanotube Nanohybrids for Light Harvesting
Hanyu Zhang 1 2 3 Kelley J. Riedy 1 2 3 Jong Hyun Choi 1 2 3
1Purdue University West Lafayette USA2Purdue University West Lafayette USA3Purdue University West Lafayette USA
Show AbstractWith extraordinary charge-accepting and conductivity properties, single wall carbon nanotubes (SWCNTs) are explored as donor-acceptor systems in light harvesting systems. Novel nanostructures are developed that employ SWCNTs in self-assembling, light-harvesting nanohybrids in conjunction with light-absorbing chromophores. The nanohybrid structures are characterized optically and electrochemically, demonstrating charge transfer reaction between photo-excited molecules and SWCNTs. This hybrid offers a facile manufacturing method for light harvesting nanomaterials, providing insight into photo-processes and current generation.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
4:45 AM - W15.06
Effects of Dielectric Screening on the Electronic Structure and Transport in Graphene-hBN Heterostructures
Neerav Kharche 1 2 Timothy B. Boykin 3 Saroj K. Nayak 2
1Rensselaer Polytechnic Institute Troy USA2Rensselaer Polytechnic Institute Troy USA3The University of Alabama in Huntsville Huntsville USA
Show AbstractIn realistic devices, graphene is often surrounded by dielectric materials, which strongly influence its electronic properties. Strong chemical bonding between graphene and surrounding materials drastically changes its electronic properties. However, it is now well known that graphene also bonds with several materials via weak van der Waals interaction. In such weakly bonded systems the electronic properties of graphene are affected by the dielectric screening due to the long-range Coulomb interaction with the surrounding materials. Here we investigate the effects of dielectric screening on the electronic properties of heterostructures of graphene and hexagonal boron nitride (hBN), where graphene binds weakly with hBN via van der Waals interaction. The first-principles density functional theory (DFT) combined with the many-body perturbation theory within the GW approximation are employed to accurately model the long range dielectric screening in weakly bound systems. A Bernal stacked graphene on the hBN susbtrate is expected to have a quasiparticle band gap on the order of 0.1 eV. The recent experiments, however, find no band gap in graphene deposited on the hBN substrate [1]. We show that misalignments (deviations from the perfect Bernal stacking) of graphene with respect to the hBN substrate present in experimental samples close the band gap in graphene [2]. Band gaps, which are robust to the external perturbations such as misalignment, can be opened up by chemical functionalization of graphene. Here we focus on the single-sided semi-hydrogenated graphene (graphone), which has a band gap larger than 2.5 eV. We find that the dielectric screening from hBN substrate lowers band gap of graphone by as much as 1 eV [2]. We also investigate the effects of dielectric screening on the conductivity of pristine graphene in graphene-hBN heterostructures [3]. The charged-impurity limited conductivity of graphene is found to increase due to the non-local dielectric screening of the charged-impurity scattering potential by the surrounding hBN layers. The results suggest that the dielectric screening by surrounding materials can be used to tune the electronic structure and transport properties of graphene-based nanostructures. [1] C. R. Dean et al., Boron nitride substrates for high-quality graphene electronics, Nat. Nanotechnol., 5, 722-726 (2010). [2] N. Kharche and S. K. Nayak., Quasiparticle Band Gap Engineering of Graphene and Graphone on Hexagonal Boron Nitride Substrate, Nano Letters, 11, 5274-5278 (2011). [3] N. Kharche, T. B. Boykin, and S. K. Nayak, Dielectric screening and conductivity of graphene in graphene-hBN heterostructures, To be submitted.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
4:45 AM - W16.06/II16.06
Morphology and Mechanics of Polycrystalline Graphene
Moneesh Upmanyu 1 Hailong Wang 2 3 Bo Li 3 Yung Joon Jung 3
1Northeastern University Boston USA2Brown University Providence USA3Northeastern University Boston USA
Show AbstractThe network of grain boundaries that decorate graphene flakes offer a natural template for engineering their structural, morphological and transport characteristics. Quite like their three-dimensional counterparts, the overall response is expected to be dictated by an interplay between properties of the individual grain boundaries and tri-junctions, and microstructural parameters such as grain size and (in-plane) crystal orientation distribution. Here, we employ atomic-scale computations to investigate the stability of randomly oriented graphene flakes with respect to in-plane tension. We observe a wide variation in the boundary structures, from the classical 5-7 dislocated structure of symmetric boundaries to more open structures in random boundaries, a reflection of the available geometrical and morphological degrees of freedom. Small grain sizes (<10nm) lead to naturally buckled morphologies that are ironed out via soft deformation modes. The plastic response is invariably brittle and in some cases approaches that of pristine graphene. The eventual fracture takes place in an intergranular fashion. We also present experimental confirmation of the fracture mechanisms. Our results offer a basis for tailoring the structural rigidity as well as fracture of large-area graphene flakes via engineering of the constituent grain boundary nanostructure.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
5:00 AM - W14.09
Interface Engineering of Graphene for Universal Applications as Both Anode and Cathode in Organic Solar Cells
Hyesung Park 1 2 Geoffrey J Supran 2 Matthew Smith 2 Silvija Gradecak 2 Vladimir Bulovic 1 Jing Kong 1
1MIT Cambridge USA2MIT Cambridge USA
Show AbstractAmong the interesting properties of graphene, its uniformly high transparency in the visible and near infrared regions along with good electrical conductivity and mechanical robustness are desirable for applications in optoelectronic devices, especially as transparent conducting electrodes in organic photovoltaic (OPV) solar cells or organic light-emitting diodes (OLED). Although there have been some initial demonstrations of graphene as transparent electrodes in OPV or OLED devices with promising results, the realization in real-life applications still remains challenging due to issues in device reproducibility or device yield, apart from their performance. In this work, we demonstrate that by engineering the interface between the graphene electrode and the organic hole transporting layer, the device performances and device yields become comparable to devices using indium tin oxide (ITO) as a transparent electrode for both OPV and OLED devices. Our study here further confirms that the key issue leading to poor performances or irreproducible results in OPV or OLED with graphene electrodes was the interface between the graphene and organic layers, which can be solved by a simple yet novel method introduced in this work. This represents an important step forward for the application of graphene as transparent electrodes.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
5:00 AM - W15.07
First-principles Study of Hexagonal Boron Nitride Sheets as Substrates for Carbon Nanostructures
Gunn Kim 1 Seoung-Hun Kang 2 Sohee Park 3 Young-Kyun Kwon 2
1Sejong University Seoul Republic of Korea2Kyung Hee University Seoul Republic of Korea3Seoul National University Seoul Republic of Korea
Show AbstractWe report the structural and electronic properties of doped semiconducting zigzag carbon nanotubes (CNTs) on the hexagonal boron nitride (hBN) sheet in the presence of external electric field obtained by first-principles calculations. We consider three different types of dopants (K, Cl and Ni) to study the dependence on doping polarity and calculate partial density of states to know whether the conduction or valence bands of the hBN substrate would be approach the Fermi level, causing some problems in CNT devices. Finally we show that though the electronic energy bands of the hBN sheet are shifted close to the Fermi level and some localized states occur in accordance of the dopant type and the field strength, the states do not touch the Fermi level under the field strength considered. It suggests that hBN as a substrate does not modify the electronic structure of the CNT improving the device performance, compared to conventional substrate materials such as silicon dioxide, whereas leakage current may occur for the doped-CNT on the hBN substrate when the high top-gate voltage is applied in the dual-gated field effect transistor.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
5:00 AM - W16.07/II16.07
Physical Defect Formation in Few Layer Graphene-like Carbon on Metal Nanoparticles
Christoph Martin Schumacher 1 Robert Niklaus Grass 1 Michael Rossier 1 Evagelos Kimon Athanassiou 1 Wendelin Jan Stark 1
1ETH Zurich Zurich Switzerland
Show AbstractMagnetic nanoparticles are used in a multitude of research areas. Comparably high and readily available specific surfaces combined with the ease of separation [1] due to very high saturation magnetizations make carbon-metal nanomagnets promising candidates as precious metal extraction agents [2] and in magnetic chemistry where a reagent is linked to a nanomagnet [3]. Furthermore, the feasibility as cleaning agents of complex liquids such as blood has been demonstrated [4]. The chemical stability in conjunction with a high saturation magnetization is decisive parameter for a broad range of applications. A systematical examination of the chemical stability of cobalt metal nanomagnets with a graphene-like carbon coating was used to study the otherwise rather elusive formation of nanometer sized physical defects in few layer graphene as a result of acid treatments [5]. A direct observation of these defects in carbon nanostructures like carbon nanotubes and graphene is challenging. The release of cobalt into the solutions over time offered a simple tool to monitor the degradation progress of such structures and therefore to determine the prevalent mechanism of physical defect formation. The suggested mechanistic insight was further confirmed by the covalent chemical functionalization of the particle surface with chemically inert aryl species, which leads to an additional thickening of the shells. The possibility to prepare well-defined shells or containers combined with the new findings may provide attractive tools in material sciences.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
5:15 AM - W14.10
Growth and Characterization of Tubular-like Sn-filled Carbon Nanopillars and Nanostructures on Indium Tin Oxide for Energy Applications
Lorenzo D'Arsie 1 Mattia Fanetti 3 Cinzia Cepek 3 Loredana Casalis 5 Pietro Parisse 5 Luca Gregoratti 4 Matteo Amati 4 Ennio Capria 2 Alessandro Fraleoni Morgera 2 Eugenio Nicolini 1 Andrea Goldoni 1
1Sincrotrone Trieste SCpA Basovizza (Trieste) Italy2Sincrotrone Trieste SCpA Basovizza (Trieste) Italy3IOM-CNR Basovizza (Trieste) Italy4Sincrotrone Trieste SCpA Basovizza (Trieste) Italy5Sincrotrone Trieste SCpA Basovizza (Trieste) Italy
Show AbstractHomogeneous growth of vertical or spaghetti-like hollow carbon nanostructures were obtained on glass covered with transparent metallic Indium Tin Oxide (ITO), without any addiction of catalytic particles, by means of the chemical vapor deposition of C2H2 in the temperature range 800 - 940 K. Carbon nanostructures are filled by Sn metal. The carbon skin can be burned out in oxygen atmosphere living pillars of SnO2. This strategy is versatile and adaptable to many substrate materials where ITO can be deposited and treated at the nanostructure growing temperatures. The rational control of the simple growth process and the lack of external catalysts allows the fabrication over large areas with optimum, as well as tunable, electrical and optical characteristics. With the possibility to tailor ordered and eventually vertically aligned arrays of SnO2 pillars, or Sn covered by a carbon skin, it is envisaged that this approach will be a promising path to enhance the efficiency in devices for energy generation and storage, which could benefit from the improved charge transport in absence of grain boundaries or interface contacts, as well as from the here demonstrated increased good transparency of such nanostructured ITO electrodes at higher wavelengths (infrared part).
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
5:15 AM - W15.08
Effects of Substrate Materials on the Graphene-based Device Performance
Seong-Kyu Jang 1 Min Wang 1 Chang-Hyun Lee 1 Sungjoo Lee 1
1Sungkyunkwan University Suwon Republic of Korea
Show AbstractAlthough the graphene has demonstrated great promise as an appealing material for future electronic technology and fundamental physics applications with its superior properties, it is known that the graphene-based device performances are significantly influenced by the substrate material and the interface quality between the graphene and the substrate. There have been considerable amounts of experimental and theoretical studies showing that the degradation of graphene-based devices are caused by the substrate-induced charge traps and various scattering mechanisms, which result in the limited carrier mobility, hysteric behavior of I-V characteristics, and Dirac point shift. Therefore, for the improvement of graphene-device performance, it is critical to understand the dependence of graphene-base devices on the dielectric substrate materials and find the optimized material systems and process parameters. In this work, we performed a systematic investigation on the impact of various dielectric materials such as SiO2, Si3N4, Al2O3, and hexagonal boron nitride on the electrical characteristics of graphene transistors. By using DC and pulsed I-V measurements, the carrier transport properties of graphene transistors with various dielectric materials are comparatively studied. In addition, the charge trapping and hysteresis characteristics of these devices are quantitatively analyzed. Results show that the much improved carrier mobility and suppressed charge inhomogeneity are observed with both CVD and exfoliated graphene on hexagonal boron nitride substrate. Our results provide basic guidelines for further development and optimization of graphene/dielectric material systems and process integration for future high performance electronic device applications.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
5:15 AM - W16.08/II16.08
The Nature of Strength Enhancement and Weakening by Pentagon-heptagon Defects in Graphene
Yujie Wei 1 Jiangtao Wu 1 Hanqing Yin 1 Ronggui Yang 2 Mildred Dresselhaus 3
1Institute of Mechanics, Chinese Academy of Sciences Beijing China2University of Colorado, Boulder Boulder USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractThe high strength reported in pristine graphene (Lee et al., Science 321:385(2009)) stimulates great interest in utilizing high strength and stretchable graphene (Rogers et al. Nature 477:45(2011)) for biological structures and electronic devices. Current synthesis techniques for large-area graphene result in the appearance of grain boundaries (GBs) (Huang et al. Nature 469:389(2011)). Although there has been good understanding on how typical defects like dislocations and GBs influence the strength of three-dimensional polycrystals, how GB defects like pentagon-heptagon rings in the two-dimensional graphene influence its mechanical properties remains a big unknown. In this work, we address how and why pentagon-heptagon defects in tilt GBs may enhance or weaken the strength of graphene through both molecular dynamics simulations and theoretical analysis. 1. We have employed both molecular dynamics simulations and theoretical analysis to study the mechanical strength in graphene with GBs. We find that it is not just the density of defects that affects the mechanical properties, but the detailed arrangement of the GB defects. The strengths of tilt GBs are proportional to the square of their tilt angles if the pentagon-heptagon defects are evenly spaced, and the trend breaks down if pentagon-heptagon defects are distributed in other ways. 2. With the availability of a detailed simulation, we find that mechanical failure starts from the bond shared by hexagon-heptagon rings. 3. We have developed a theory to capture the interaction among hexagon-heptagon defects in two-dimensional graphene, which is capable of quantitatively predicting the observed mechanical behavior through molecular dynamics simulation. The present work provides fundamental guidance to understand how defects interact in two-dimensional crystals, which is important for utilizing high strength and stretchable graphene14 for biological and electronic applications.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
5:30 AM - W14.11
Hydrogen Desorption from Quasi-free-standing Monolayer Graphene
Yuya Murata 1 Makoto Takamura 1 Hiroyuki Kageshima 1 Hiroki Hibino 1
1NTT Basic Research Lab. Atsugi Japan
Show AbstractThere is a strong interaction between epitaxial graphene and silicon carbide (SiC) substrate through the buffer layer, resulting in strong n doping in graphene with a density of ~1013 cm-2. Recently, it has been shown that the interaction can be reduced by decoupling the buffer layer from the substrate by H intercalation.[1] Our group has reported, from transport measurements, that quasi-free-standing bilayer graphene produced from epitaxial monolayer graphene by H intercalation is p-doped.[2] However, the adsorption characteristics of H have not been fully understood, which would strongly affect the electronic structures of quasi-free-standing graphene. In this work, we investigated the surface structures before and after H desorption and the H desorption process on quasi-free-standing monolayer graphene (QFMLG) by room-temperature and high-temperature in-situ scanning tunneling microscopy (STM) observations. A 4H-SiC(0001) substrate was used as a sample. The sample was annealed in Ar at 1700°C for 5 min to form a buffer layer, and then annealed in H2 at 1000°C for 30 min for H intercalation and formation of QFMLG. The time evolution of the surface structure was observed by in-situ STM at 540-670°C in an ultrahigh vacuum. Above 630°C, a H-desorbed region preferentially grew from SiC step edges. The coverage of QFMLG region linearly decreased with time. This indicates zero-order desorption. The effective activation energy for H desorption from QFMLG was estimated to be 2.5±0.4eV. The desorption process includes the breaking of bonds between H and Si atoms and penetration of H atoms through a graphene sheet. The activation energy measured in our experiment is comparable to the Si-H bonding energy on Si(111)-7×7 (2.7±0.2 eV[3]) and smaller than the energy for H atom to penetrate through a graphene sheet (2.86[4] - 4 eV[5]). These results suggest that H adatoms diffuse at the graphene/SiC interface and desorb from the surface at energetically active sites like SiC step edges. [1] C. Riedl, C. Coletti, T. Iwasaki, A. A. Zakharov, and U. Starke, Phys. Rev. Lett. 103, 246804 (2009). [2] S. Tanabe, Y. Sekine, H. Kageshima, and H. Hibino, Jpn. J. Appl. Phys. 51, 02BN02 (2012). [3] M. L. Wise, B. G. Koehler, P. Gupta , P. A. Coon, and S. M. George, Surf. Sci. 258, 166 (1991). [4] M. Miao, Y. Liu, T. Wu, Q. Wang, and K. E. Gubbins, Diffusion-fundamentals.org 16, 1 (2011). [5] Y. Miura, H. Kasai, W. A. Diño, H. Nakanishi, and T. Sugimoto, J. Phys. Soc. Jpn. 72, 995 (2003).
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
5:30 AM - W15.09
Graphene on Freestanding (001) 3C-SiC
Philip Hens 1 Tihomir Iakimov 1 Alexei Zakharov 2 Mikael Sjvaejaervi 1 Rositza Yakimova 1
1University Linkamp;#246;ping Linkamp;#246;ping Sweden2MaxLab Lund University Lund Sweden
Show AbstractCubic silicon carbide (3C-SiC) is a metastable material, challenging to grow and therefore there are very few graphene studies using this polytype. Graphene on the hexagonal (111) oriented surface of cubic silicon carbide was demonstrated by sublimation growth and a uniform coverage of a single monolayer could be obtained on this orientation [1]. Due to the fact that (001) oriented 3C-SiC is not available in good quality so far the growth of graphene on this cubic surface could only be shown on small facetted areas [2] or on 3C-on-silicon with high defect densities [3]. Contrary to hexagonal and 3C (111), it is expected that no interface layer is formed between the silicon carbide (001) substrate and the epitaxial graphene layer. Our new technology for the preparation of 3C-SiC substrates allows us to study the formation of graphene on larger areas of (001) surfaces on free standing cubic silicon carbide. The (001) oriented cubic silicon carbide substrates were produced using a newly developed procedure based on the fast sublimation growth process (FSGP). A template of a thin 3C-SiC layer on silicon (provided by chemical vapor deposition) is used as a seed for the growth of a freestanding cubic silicon carbide sample of high structural quality. Using this new approach free standing substrates with a FWHM of less than 80 arcsec in the (002) reflection in XRD Rocking measurements with a large footprint of 1x1.5mm2 were produced [4]. In our research we were able to produce epitaxial graphene by a high-temperature atmospheric pressure sublimation process on (001) oriented free standing cubic silicon carbide. By low energy electron microscopy (LEEM) measurements a stack of three to four layers of graphene was found on (001) 3C-SiC. These structures were formed under the same conditions like normally used for the production of monolayer graphene on Si-terminated hexagonal surfaces, e.g. (0001) on 6H-SiC or (111) on 3C-SiC. This shows a faster formation rate of graphene on the non-polar (001) surface of cubic silicon carbide. Also the non-polar (11-20) surface of the hexagonal polytypes has shown a similar increase in the formation rate in work found in the literature which results in speeds between the slow Si-terminated (0001) and the fast C-terminated (000-1) face [5]. A modification and optimization of the process parameters in the graphene growth makes the fabrication of mono- or bilayer graphene on the cubic (001) surface of 3C silicon carbide possible. A different mechanism might make this formation more complex. Detailed characterization will reveal the nature of the interface structure and the doping of the graphene layer. [1] G.R.Yazdi, et.al. (to be published) [2] H.Handa,et.al. MSF 711 (2012), pp 242 [3] A.Ouerghi, et.al. Physical Review B 83, 205429 (2011) [4] P.Hens, et.al. Materials Letters 67, 1 (2012), pp 300 [5] B.K.Daas, et.al. MSF 717-720 (2012) pp 633
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
5:30 AM - W16.09/II16.09
Effect of Chromium Underlayer on the Properties of Nano-crystalline Diamond Films
Elias Garratt 1 Salem AlFaify 3 1 Manjula Nandasiri 2 1 Asghar Kayani 1
1Western Michigan University Kalamazoo USA2Pacific Northwest National Laboratory Richland USA3King Khalid University Abha Saudi Arabia
Show AbstractThis paper investigated the effect of chromium underlayer on the structure, microstructure and composition of the nano-crystalline diamond films. Nano-crystalline diamond thin films were deposited at high temperature in microwave-induced plasma diluted with nitrogen, on single crystal silicon substrate with a thin film of chromium as an underlayer. The composition, structure and microstructure of the deposited layers were analyzed using non-Rutherford Backscattering Spectroscopy, Raman Spectroscopy, Near-Edge X-Ray Absorption Fine Structure, X-ray Diffraction and Atomic Force Microscopy. Nanoindentation studies showed that the films deposited on chromium underlayer have higher hardness values compared to those deposited on silicon without an underlayer. Diamond and graphitic phases of the films evaluated by x-ray and optical spectroscopic analysis determined consistency between sp2 and sp3 phases of carbon in chromium sample to that of diamond grown on silicon. Diffusion of chromium was observed using ion beam analysis which was correlated with the formation of chromium complexes by x-ray diffraction.
W14: Energy
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday PM, November 29, 2012
Hynes, Level 3, Ballroom B
5:45 AM - W14.12
Molecular Mass Transport via Carbon Nanoscrolls
Yinjun Huang 1 Teng Li 1 2
1University of Maryland College Park USA2University of Maryland College Park USA
Show AbstractA carbon nanoscroll (CNS) is formed by rolling up a monolayer graphene into a spiral structure. A CNS is topologically open, distinct from a MWCNT. The unique topology of CNSs has inspired an array of novel applications. For example, CNSs have been shown to be a promising material for hydrogen storage. Enthusiasm for utilizing CNSs for hydrogen storage aside, one crucial but largely unexplored issue for the success of this potential application is an effective mechanism for molecular mass transport through CNSs. For example, it remains elusive how to shuttle the hydrogen molecules adsorbed inside CNSs. To address this unexplored issue, we demonstrate two effective mechanisms of molecular mass transport that leverage the unique topology of CNSs, using molecular dynamic simulations. The open topology of CNSs dictates their proneness of buckling instability. We show that buckling instability of CNSs under torsional deformation can be used to effectively transport the adsorbed hydrogen molecules inside the CNSs. The open topology of CNSs also allows for large tunability of their inner core size, which can also be leveraged for molecular mass transport. We demonstrate that electrical field induced change of carbon-carbon interlayer adhesion in a CNS can lead to the change of the CNS core size, which can effectively push the adsorbed hydrogen molecules out of the CNS. Both mechanisms demonstrated here are expected to be applicable to molecular mass transport of other materials as well, such as nanoparticles, water molecules, bucky balls, etc. The exceptional durability of the basal graphene also allows for reliable functionality of CNS-enabled molecular mass transport.
W15: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties II
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 3, Room 312
5:45 AM - W15.10
Stacked Graphene Channels in a Field-effect Transistor
Aaron D Franklin 1 Satoshi Oida 1 Damon Farmer 1
1IBM Yorktown Heights USA
Show AbstractGraphene is an excellent 2D material for a range of potential electronic applications, owing to its high mobility and ultra-thin body. However, the performance of graphene field-effect transistors (FETs) is limited by the interfaces that are established to form a device—contacts yield high contact resistance and the gate dielectric yields reduced mobility. While many reports have shown techniques for reducing the contact resistance and/or scattering in the channel, this work establishes a unique approach to improving the overall performance in graphene FETs by taking advantage of the ability to transfer graphene to virtually any surface. Unlike traditional, bulk materials used to make FETs, graphene is 2D and can be transferred to a substrate of choice over large areas (e.g., 200 mm wafers). In this work, graphene FETs are demonstrated with a channel consisting of multiple, stacked layers of graphene that are electrically connected in parallel at the contacts and controlled by the same gate. This vertical stacking of graphene channels allows for the FET performance to be enhanced without altering the device footprint. Chemical vapor deposition (CVD) was used to synthesize single-layer graphene on copper foils. Each layer of graphene could then be transferred from the foils to the silicon substrate on which the devices were being assembled. This demonstration first involved the fabrication and testing of top-gated, single graphene layer FETs. Then, using the same gate and contact lithography masks, a second graphene layer was transferred to the substrate on top of the gate stack and contacts of the first layer. The material stack in the channel from bottom to top in this double-stacked graphene FET was then: SiO2 substrate, 1st graphene, gate dielectric (HfO2), gate metal, gate dielectric (HfO2), 2nd graphene. Adding the 2nd graphene layer consistently provided more than 2x increase in on-current and transconductance compared to the single-layer devices, yielding > 1 mA/mu;m at 1 V of drain-source bias. The primary reason for the > 2x performance improvement when going from one layer to two stacked layers is that the second layer had metal contacts below and above the graphene (double contacts), resulting in a lower contact resistance. It is also shown that further stacking can continue using the same mask set to achieve ultrahigh current and transconductance devices. Overall, this study demonstrates that making use of graphene&’s substrate independence and transferability can enable the fabrication of FETs with tunable performance using the same mask set and without enlarging the device footprint.
W16/II16: Joint Session: Atomic Structure and Chemistry of Interfaces and Grain Boundaries in Carbon
Session Chairs
Susan Sinnott
Krzysztof Koziol
Thursday PM, November 29, 2012
Hynes, Level 2, Room 201
5:45 AM - W16.10/II16.10
The Graphene/Graphane Interface Energy and Implications for Defects
James Robert Morris 1 2 Frank W. Averill 1 2
1Oak Ridge National Laboratory Oak Ridge USA2University of Tennessee Oak Ridge USA
Show AbstractRecent theoretical work has shown that electronic properties of graphene sheets can be systematically modified by the partial hydrogenation of the sheets. Two possible perfect and distinct graphene/graphane interfaces (called zig-zag and armchair) have very different but potentially useful electronic properties which are nevertheless likely to be affected by the presence of defects. In an effort to evaluate their relative energetics and their potential for defects, the structure and energies of the zig-zag and armchair interfaces have been computed for infinite sheets of periodically alternating stripes of graphene and graphane ribbons of various widths. The presence of an interface causes significant strains in both the graphene and graphane regions, with both shear strains and area strains typically close to 1%. The associated large strain energies may lead to defects that relieve the strain but disrupt the lattice. The energies per unit length associated with the interfaces alone are approximately 0.12 eV/Å for the zig-zag interface and 0.11 eV/Å for the armchair. The large misfit strains and energies suggest that formation of strain-relieving defects at the interface should be highly favorable. This research has been sponsored by the Materials Sciences and Engineering Division, Office of Basic Energy Sciences, U.S. Department of Energy.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
9:00 AM - W11.01
An All-graphene Flexible and Transparent Circuit for Quaternary Digital Modulation
Seunghyun Lee 1 Kyunghoon Lee 1 Chang-Hua Liu 1 Girish S. Kulkarni 1 Zhaohui Zhong 1
1University of Michigan, Ann Arbor Ann Arbor USA
Show AbstractWe report a fully bendable all-graphene modulator circuit with the capability to encode a sinusoidal carrier signal with quaternary digital information for the first time. By exploiting the ambipolarity and the nonlinearity in a graphene transistor, we demonstrated two types of quaternary modulation schemes: 4-ary amplitude-shift keying (4-ASK) and quadrature phase-shift keying (QPSK). Specifically, QPSK explores all four quadrants of the constellation, and it is the key building unit for highly efficient modulation techniques that are widely used in today&’s telecommunication standards. Remarkably, 4-ASK and QPSK can be realized with just 1 and 2 all-graphene transistors, respectively, representing a drastic reduction in circuit complexity when compared with conventional digital modulators. In addition, the devices are not only flexible but also highly transparent (~95% transmittance) owing to their all-graphene design with every component (channel, interconnects, load resistor, contact and gate electrodes) fabricated from graphene films. Taken together, these results represent a significant step toward achieving a monolithic system for high speed communication on a flexible and transparent platform.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
9:00 AM - W12.01
Single-walled Carbon Nanotube/Silicon Heterojunction Photovoltaic Cell
Kehang Cui 1 Shuichiro Omiya 1 Pei Zhao 1 Theerapol Thurakitseree 1 Shinya Aikawa 1 Shohei Chiashi 1 Shigeo Maruyama 1
1The University of Tokyo, Japan Tokyo Japan
Show AbstractFeatured with high electron mobility and chirality-dependent wide-spectrum direct band gaps, single-walled carbon nanotubes (SWNTs) would facilitate photon absorption as well as charge separation and collection processes in photovoltaic energy conversion. In addition, the excellent mechanical properties of SWNTs can be exploited for reliable and even flexible solar cell. SWNT/n-Si heterojunction photovoltaic cell is the simplest photovoltaic system employing SWNTs. It was reported recently that the photovoltaic conversion efficiency (PCE) is improved by adjusting SWNTs morphology up to 2.4% yet still with the working mechanism and effect of SWNTs properties unclear. In this study, we have fabricated and characterized the SWNT/n-Si heterojunction photovoltaic cell. The heterojunction is built up by a SWNT thin film on top of the substrate which has a 3mm×3mm bare n-type silicon contact window in the center. The contact window is surrounded by SiO2 as insulating layer. The charge carriers are collected through SWNT thin film to silver paste electrode. Alcohol catalyst CVD method is used to grow the SWNT with the in-situ laser absorption method for the thickness control of SWNTs. The UV-vis-NIR spectrometer is used for the measurement of the absorbance and transmittance of SWNTs. The sheet resistance of SWNT film is measured by the standard four-probe method. The optimal properties of SWNT thin film for photovoltaic cell and the photocurrent generating mechanism for the solar cell will be discussed by comparing the PCE with different absorbance, transmittance and sheet resistance of SWNTs. Density gradient ultracentrifugation method is also used to separate semiconductor and metallic SWNTs to investigate the working mechanism.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
9:00 AM - W13.01/WW7.01
Concentrated Solutions of Highly Conductive Pyrene-functionalized Carbon Nanotubes Suitable for Printing
Christopher William Landorf 1 Wu-Sheng Shih 2 Vijaya Kayastha 1 John Bledsoe 1 Jacqueline Garrison 1 Marriana Nelson 2
1Brewer Science Inc. Springfield USA2Brewer Science Inc. Springfield USA
Show AbstractAs produced, raw carbon nanotubes are not soluble in many solvents necessary for printing applications. Standard methods for circumventing this problem involve sidewall functionalization and surfactants. Sidewall functionalization invariably destroys the π-network that gives carbon nanotubes their useful electronic properties, while surfactants deposit an insulating layer onto the carbon nanotube surface that must be washed off to regain the desired properties. Non-covalent functionalization offers the possibility to achieve solubility without the destruction of the π-network, but published methods have resulted in relatively low concentrations or substandard electronic performance. We have developed a scalable method to non-covalently functionalize long (> 3 mu;m) carbon nanotubes with simple pyrene derivatives. This method produces highly dispersed solutions with concentrations as high as 2.5 g/L that can be used to produce conductive coatings with sheet resistance as low as 350 Omega;/square with 85% transmittance at 550 nm without post-deposition washing or doping treatments. The functionalized carbon nanotubes can be formulated into solutions that can be printed by ink-jet deposition, Aerosol-Jet® deposition, screen printing, and spray coating for printed electronics fabrication, and the solutions are stable for months without signs of bundling.
W17: Posters Session: Other
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 2, Hall D
9:00 AM - W17.01
Synergism in Binary (MWNT, SLG) Nano-carbons in Polymer Nano-composites: A Raman Study
Peng Xu 1 James Loomis 1 Ben King 1 Balaji Panchapakesan 1
1University of Louisville Louisville USA
Show AbstractLoad transfer and mechanical strength of reinforced polymers are fundamental to developing advanced composites. This paper demonstrates enhanced load transfer and mechanical strength due to synergistic effects in binary mixtures of nano-carbon/polymer composites. Different compositional mixtures (always 1 wt. % total) of multi-wall carbon nanotubes (MWNTs) and single-layer graphene (SLG) were mixed in polydimethylsiloxane (PDMS), and effects on load transfer and mechanical strength were studied using Raman spectroscopy. Significant shifts in the G-bands were observed both in tension and compression for single as well binary nano-carbon counterparts in polymer composites. Small amounts (0.1 wt%) MWNT dispersed in 0.9 wt. % SLG/PDMS samples reversed the sign of the Raman wavenumbers from positive to negative values demonstrating reversal of lattice stress. A wavenumber change from 10 cm-1 in compression to 10 cm-1 in tension, and an increase in elastic modulus of ~103% was observed for MWNT0.1SLG0.9/PDMS with applied uniaxial tension. Presence of MWNTs in the matrix reduced the segmental polymeric chain length and provided limited extensibility to the chains. This in turn eliminated compressive deformation of SLG and significantly enhanced load transfer and mechanical strength of composites in tension. The orientation order of MWNT with application of uniaxial tensile strain directly affected the shift in Raman wavenumbers (2D band and G-band) and load transfer. It is observed that the cooperative behavior of binary nano-carbons in polymer composites resulted in enhanced load transfer and mechanical strength. Such binary compositions could be fundamental to developing advanced composites.
9:00 AM - W17.02
Influence of Polymer Particle Size on the Percolation Threshold of Electrically Conductive Latex-based Composites
Gregory P. Moriarty 1 Jaime C. Grunlan 1 James H. Whittemore 2 James W. Rawlins 2
1Texas Aamp;M University College Station USA2University of Southern Mississippi Hattiesbury USA
Show AbstractMonodispersed copolymer emulsions, each with a different polymer particle size, were used to investigate the effect of particle size on the electrical and thermomechanical properties of carbon black (CB)-filled segregated network composites. These emulsions were synthesized with equal amounts of methyl methacrylate (MMA) and butyl acrylate (BA), with latex particle size ranging from 83 to 771 nm. The electrical percolation threshold was found to decrease from 2.7 to 1.1 vol% CB as the latex particle size was increased. Microstructural images reveal diminished latex coalescence, and improved CB segregation, with increasing latex particle size. The glass transition temperatures for all systems were relatively unaltered, with changing CB concentration, while the storage moduli all increased. Furthermore, all systems exhibited a similar maximum electrical conductivity plateau of 0.7 S*cm-1, albeit at lower concentration for larger latex particle size. This ability to tailor percolation threshold with latex particle size provides an important tool for manipulating electrical and mechanical properties of polymer nanocomposites.
9:00 AM - W17.03
Interaction of Single-stranded DNA and SWNTs that Were Solubilized with Cholic Acids
Ayaka Inoue 1 Yuichi Kato 1 Yasuro Niidome 1 2 Naotoshi Nakashima 1 2 3
1Kyushu University Fukuoka Japan2I2CNER, WPI Fukuoka Japan3CREST, JST Tokyo Japan
Show AbstractSingle-walled carbon nanotubes (CNTs) are rolled-up graphene sheets with rigid one-dimensional structures. Evaluation of the interactions between molecules and CNTs has attracted much research. We have gotten quantitative evaluation of interactions between CNTs and solubilizers by the reaction of exchange of solubilizers on CNT. The exchange of solubilizers on SWNT has occurred by added oligo-DNA to the solution in which individually solubilized SWNT by sodium cholate (SC). This method was also useful to know microscopic environments of the solubilized CNTs, because the solubilizers on the CNT surfaces affected the spectroscopic properties of CNTs. Absorption spectra of CNTs were recorded at a certain temperature (15-40 °C). The peak intensities at 1080 nm, which represent chirality of (10,2), was plotted against the concentration of DNA and fit by the Hill equation to evaluate the equilibrium constants (Kα) of the solubilizers. Temperature dependence of the Kα was used to evaluate ΔH and ΔS of the exchanges of the solubilizers. These parameters indicated that the exchange of SC for DNA was entropy-driven. We also found that the thermodynamic parameters were dependent on chiralities of the CNTs and length of oligo-DNA. It was shown that the spectroscopic changes of the CNTs were useful to make quantitative evaluation of the exchanges of solubilizer molecules on CNTs.
9:00 AM - W17.05
Synthesis of Novel Carbon Nanostructures and Films by Pulsed Laser-induced Photochemical Stitching (PLPS)
Rohan Rajeev Gokhale 1 Satishchandra Ogale 1
1National Chemical Laboratory Pune India
Show AbstractCarbon nanomaterials and thin films are finding use in diverse applications such as energy generation/storage devices (eg. Solar cells, Battery and Supercapacitors), superhydrophobic coatings, field emission, biology etc. Carbon nanostructures have been till date synthesized only by established routes like CVD, PLD, Arc Discharge, Chemical synthesis etc. In our work we investigate the synthesis of carbon nanoparticles and thin films using a novel, facile, room temperature route of laser photochemistry. Pulsed laser irradiation of liquid haloaromatic compounds (e.g. chlorobenzene and o-dichlorobenzene) yield carbon nanospheres by a process that involves free radical generation and their subsequent ‘stitching&’ together to form carbonaceous networks. We term the process as Pulsed Laser-induced Photochemical Stitching (PLPS). This process when applied to various substrates yields films of carbon. We synthesized such carbon films on Glass, FTO-coated glass and cover slips. They display interesting carbon forest-like morphology which is highly superhydrophobic in character (contact angle with water droplet reaching up to appx 167o) accompanied by a laser induced tunability of the hydrophobic character. The carbon films being electronically conducting in nature (sheet resistance ranging from -2-10 kOmega;/sq), these were explored for application as catalytic counter electrodes in dye sensitized solar cells (DSSCs). They yield conversion efficiency which is only about 20% less as compared to the Pt-FTO as counter electrodes. We show that this photochemical method with minor modifications can also be used to generate transparent conducting carbon films on glass substrates at room temperature (sheet resistance = 60-80 kOmega;/sq, transparency of up to 70-75% in the spectral range of 400-800 nm).
9:00 AM - W17.06
Anti-bacterial Property of Hydrogen-free Amorphous Carbon Coatings on Ar Plasma-pretreated Polytetrafluoroethylene (PTFE) with a-C:H:F Interlayer
Hironari Umemoto 1 Terumitsu Hasebe 2 1 Rumiko Kasai 3 Yukihiro Yoshimoto 1 So Nagashima 4 Atsushi Hotta 1 Tetsuya Suzuki 1
1Keio University Yokahama Japan2Tokai University Hachioji Hospital Hachioji Japan3Toho University Sakura Medical Center Sakura Japan4Korea Institute of Science and Technology Seongbuk-gu Republic of Korea
Show AbstractPolytetrafluoroethylene (PTFE) is extensively utilized in medical devices because of its chemical and mechanical stability; however, it still has chance a risk of bacterial infection in the body. Recently, amorphous carbon (a-C) has attracted much attention as a coating material on medical devices owing to its outstanding properties. In addition, recent researches reported that a-C films might enhance antibacterial properties. However, direct deposition of a-C onto polymer surface leads to poor coating adhesion and film cracking. To get rid of this problem, many investigators have studied about surface modification of the polymer and interlayers to improve the adhesion strength and to solve the delamination between polymeric materials and a-C. The present work was thus aimed at improving the adhesive property of a-C-based film on PTFE by plasma-pretreatment with an appropriate interlayer. In this study, hydrogenated a-C (a-C:H) and hydrogen-free a-C (H-free a-C) were deposited onto the PTFE substrate using plasma deposition method. The durability and adhesion strength of a-C coated-PTFE using plasma pre-treatment with or without interlayers are presented. In addition, surface morphology and bacterial adhesion on a-C coated samples are presented. To enhance the adhesion strength of a-C to the PTFE substrate, a two-step procedure was applied: firstly, the PTFE substrates were pre-treated with Ar and O2 plasma. Secondly, fluorine-incorporated a-C:H (a-C:H:F) was introduced as an interlayer. The effect of the plasma pre-treatment on the surface chemical compositions and the surface morphology was investigated by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM), respectively. A T-peel test was carried out to evaluate the adhesion strength of the a-C coated-PTFE and the a-C coated-PTFE with an interlayer. SEM study was conducted in order to determine the cracking or delamination after the stretch of a-C coated-specimens uniaxially to a certain strain. XPS analysis of plasma-pretreated PTFE indicated that substantial defluorination and oxygen bonding occurred by Ar plasma-pretreatment; however, slight defluorination occurred by O2-plasma pretreatment. SEM images showed that Ar plasma-pretreated surface exhibited wrinkle-like structure, while O2 plasma-pretreated surface exhibited deeply etched needle-like structure. In the T-peel test, Ar plasma-pretreatment improved adhesion strength more effectively than that of O2 plasma-pretreatment. The interlayer of a-C:H:F improved the a-C adhesion strength on PTFE, and also decreased the amount of film cracking. Bacterial adhesion of the coating was evaluated using Escherichia coli. H-free a-C coating exhibited a significantly higher inhibitory effect on bacterial adhesion than the a-C:H and non-coated PTFE. Thus, the present study indicates that the H-free a-C coated-PTFE with a-C:H:F interlayer can serve as a promising candidate for antibacterial medical devices.
9:00 AM - W17.07
High Energy Density Supercapacitor from Dead Leaves
Mandakini Biswal 1 Abhik Banerjee 1 Satishchandra Ogale 1
1National Chemical Laboratory Pune India
Show AbstractFunctional microporous conducting carbon with high surface area of about 1230 m2/g is synthesized by a single step pyrolysis of plant dead leaves (waste material) without any activation. Although we have used dead Neem (Azadirachta indica) leaves in this work, the process is generic and applicable to most forms of dead leaves. Various characterization techniques such as X-Ray diffraction, Raman Spectroscopy, High Resolution Transmission Electron Microscopy, EDAX Analysis and BET surface area measurements are employed to analyze the properties of the material. Electrochemical cyclic voltametry studies were performed in 0.5M H2SO4 solution between potential range 0-1V at various sweep rates. For quantitative considerations the specific capacitance was calculated from the galvanostatic charge discharge curves at different current densities ranging from 0.5A/g to 10A/g. The highest specific capacitance value 400 F/g is obtained at a current density of 0.5A/g. The concurrent high conductivity and microporosity realized in this carboneous material are key to the high energy supercapacitor application. Indeed, our synthesized functional carbon exhibits a high enengy density of 55Whkg-1 even at a current density of 0.5A/g. The areal capacitance value of the carbon derived from dead (Neem) plant leaves is also significantly higher which is 32µF cm-2. This performance is clearly superior to that of most commercially available and synthetically obtained activated carbon forms that have been used in ultracapacitor charge storage networks.
9:00 AM - W17.08
Optoelectronic Properties of the Carbonized PAN Thin Film via Electron Beam Irradiation and Thermal Processing
Hyun Bin Kim 1 Seung Jun Lee 1 Seung-Hwan Oh 1 Joon-Pyo Jeun 1 Phil Hyun Kang 1
1Korea Atomic Energy Research Institute Jeongeup-si, Jeollabuk-do Republic of Korea
Show AbstractCarbon materials have been widely used in various forms such as fibers, mats, and thin film due to their unique chemical, electrical, and mechanical properties. Polyacrylonitrile(PAN) is one of the most suitable precursor for making high performance carbon materials. Most commercial PAN based carbon materials are produced by thermal stabilization and carbonization. Recently radiative stabilized processes such as γ-ray, UV and electron beam are attracted to enhance the properties of carbon materials. In this study, we measure and discuss the optoelectronic properties of electron beam irradiated thin film in comparison with the thermal processing. PAN was dissolved in N.N-Dimethylformamide(DMF) to prepare a 5 wt.% solution in room temperature for 12 hours. PAN/DMF solution was spin coated on the silicon wafer, and the electron beam was irradiated on these samples with 10 MeV accelerating voltage and 0.5 mA current at doses ranging from 100 to 500 kGy. Thin films were stabilized at 275°C in oxygen and nitrogen gas mixture atmosphere, and carbonized at 1,000°C in nitrogen atmosphere. The reflection spectra of stabilized thin films were measured by spectrophotometer from 360 nm to 740 nm. And carbonized thin films were analyzed by Raman spectroscopy. Conductivity was measured with a four point probe.
9:00 AM - W17.09
Fabrication and Characterization of Nanocrystals and Layered Thin Films Using C60
Toshimitsu Sato 1 Taku Matsukawa 1 Keigo Matsuda 1 3 Jun Matsui 4 Tokuji Miyashita 4 Akito Masuhara 1 2 3
1Yamagata Univ. Yonezawa Japan2Yamagata University Yonezawa Japan3Yamagata University Yonezawa Japan4Tohoku Univ. Sendai Japan
Show AbstractFullerene (C60) nanocrystals were assembled in two and/or three-dimension using a liquid-liquid interfacial assemble technic and electrophoretic deposition method. We have tried to crystallize C60 nano and microcrystals by employing reprecipitation method1), and succeeded in preparing C60 nanocrystals with various unexpected shapes and surprisingly monodispersed sizes2). The shapes and inner structures of the resulting C60 nanocrystals were strongly dependent on the combination of good and poor solvents. In addition, we have succeeded in preparing assemble C60 nanocrystals into two- and/or three-dimensional order employing liquid-liquid interfacial assemble technic and electrophoretic deposition method. Fabrication process of the nanocrystallization and layered thin film is as follows. For example, C60nanocrystals, the diluted solution (1-7 mM, 200 mu;L) of C60 was injected into the vigorous stirred 2-propanol as a poor solvent (10 ml) and kept for 2 hours to complete the nanocrystallization. Finally, C60 nanocrystals were obtained as a dispersion state. The obtained C60 nanocrystals dispersion were assembled at the water-hexane interface by addition of C60 nanocrystals dispersion to the interface. The assembled film was transferred onto a solid substrate using the Langmuir-Blodgett deposition technique. The transferred film showed a monoparticle layer. Obtained thin films were characterized by SEM, TEM, powder XRD measurement and absorption spectra. As a result, we have demonstrated that thin film of C60 nanocrystals can fabricate and control the thickness of their layered structure by changing the amount of nanocrystals dispersion, immersing times and so on. Details of fabricated condition and characterization of nanocrystals and thin films will be discussed. Reference 1) H. Masuhara, H. Nakanishi and K. Sasaki, Single Organic Nanoparticles. Springer, Berlin 2)A. Masuhara, FULLERENE NANOWHISKERS, K. Miyazawa Ed., Pan Stanford Publishing, Singapore, chapter 7 89-101 (2011).
9:00 AM - W17.10
Preparation of Well-controlled Porous Carbon Electrode Materials for Supercapacitors Using a Binary Blend System
Eunmi Jo 1 Chang Kook Hong 2
1Chonnam National University Gwangju Republic of Korea2Chonnam National University Gwangju Republic of Korea
Show AbstractThe aim of this study is to determine the morphology development of porous carbon fiber webs using miscibility difference of binary blend system. The 10wt% Polyacrylonitrile (PAN) and 25wt% of Poly(acrylic acid)(PAA), Poly(ethylene glycol) (PEG), Poly(methyl methacrylate) (PMMA) and Polystyrene (PS) in DMF were prepared, respectively PAA, PEG, PMMA and PS solution was blended with the PAN solution as a weight ratio of 75:25. Carbon nanofiber webs were prepared by an electrospinning and a thermal treatment. The morphology and pore structure of the carbon nanofiber webs were investigated using a field emission scanning electron microscopy (FE-SEM). Specific surface area of the porous carbon nanofiber webs was calculated through the BET method investigated by Surface Area Analyzer. The porous carbon nanofiber webs having various pore structures were simply prepared by electrospinning process using binary blend system. As increasing the solubility parameter difference, two polymers are less miscible and the dispersed polymers take large portion in a blend system. Pore size was increased by blending large difference of solubility between two polymers. PAN/PAA blend was the smallest pore size as 1.66nm and PAN/PS blend was the largest as 18.23nm. The morphology and pore structures of blend fiber webs were controlled in various forms using miscibility of blends and it is useful to apply to air purification, gas separation and carbon electrode materials for appropriate purpose of uses.
9:00 AM - W17.11
ESR Study of the Ferromagnetism in Disordered Graphite
Do-wan Kim 1 Kyu Won Lee 1 Cheol Eui Lee 1
1Department of Physics Seoul Republic of Korea
Show AbstractFerromagnetism in graphite without impurities is known to be related to structural misorientation, concentrated defect, or lattice defects, however, its origin is not yet fully understood. We have investigated graphite with structural disorder (ZYH grade) by employing electron spin resonance (ESR) measurements in comparison to highly-ordered (ZYA-grade) graphite without and with proton irradiation. Distinct characteristics of the conduction electron signals were thus observed, the g-values and linewidths being interpreted in terms of the carrier density and the magnetic susceptibility in relation to the defects in the systems.
9:00 AM - W17.12
Structure and sp2 Bond Character of Carbon Cones and Discs
Fredrik Sydow Hage 1 2 Rik Brydson 3 Anette Eleonora Gunnamp;#230;s 2 Geir Helgesen 1 2
1Institute for Energy Technology Kjeller Norway2University of Oslo Oslo Norway3University of Leeds Leeds United Kingdom
Show AbstractWe show how the structure, degree of sp2 hybridization and covalent bond length of hollow carbon cones [1] and discs respond to ex- situ heat treatment up to 2700°C. The structure was investigated by selected area diffraction and high resolution imaging in the transmission electron microscope (TEM). The sp2 character and covalent bond length was determined by analyzing carbon K ionization edge spectra acquired with an electron energy loss spectrometer attached to the TEM. Results from these measurements show that the graphitic structural order and bond character increased significantly with heat treatment temperature. The evolution of the bond character of the cones was found to be slightly more similar to non- graphitizing carbons [2] than that of the ‘graphitizing- carbon- like&’ discs [3]. This might be attributed to strain induced by the curved cone walls. [1] Krishnan et al., Nature 388 (1997) 451 [2] Zhang et al., Carbon 49 (2011) 5049 [3] Daniels et al., Philosphical Magazine 87 (2007) 4073
9:00 AM - W17.13
Raman Spectroscopy as a Tool for Detection and Discrimination of Breast Cancer Cells
Zeid A Nima 1 Meena W Mahmood 1 Alokita Karmakar 1 Thikra Mustafa 1 Shawn Bourdo 1 Yang Xu 1 Alexandru S Biris 1
1University of Arkansas at Little Rock Little Rock USA
Show AbstractRaman nanoagent complex prepared from the covalently bonded Single-walled carbon nanotube (SWCNT) with the epithelial cell adhesion molecule (Epcam) has been used for early and fast detection of breast cancer cells (MCF-7). The Raman nanoagents have been well characterized and the Raman signals were collected from single cell mixture of both cancer and normal cells by using 633nm laser aided with Labspec software.We have been able to detect single cancer cell within first 30 min of exposure (Targeting process). The Raman mapping 3D images and Raman linearity scanning results showed that the nanoagents were targeting cancer cells specifically and thus revealed a very strong Raman signals, whereas there was no signal obtained from the normal cells.
9:00 AM - W17.15
Large-area Synthesis of High Quality Molybdenum Disulfide (MoS2) Layers by Chemical Vapor Deposition
Youngbin Lee 1 2 Jin-Hwan Lee 3 Changgu Lee 1 3 Jong-Hyun Ahn 1 2
1Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea3Sungkyunkwan University Suwon Republic of Korea
Show AbstractIt has been considerably researched on 2-dimensional materials such as graphene and boron nitride to realize nanoelectronic devices. Molybdenum disulfide (MoS2) has hexagonal 2-D structure and semiconducting behavior because of the band-gap from 1.29 to 1.9 eV corresponded with bulk and monolayer which indicates great potential to utilize them for 2-D transistor. However, it is necessary to develop a method to synthesize it in large-aera. Here, we report the large-area Molybdenum disulfide synthesized by chemical vapor deposition (CVD) at 750 degree Celsius. The number of MoS2 layers could be varied from monolayer to few layers by controlling thickness of molybdenum catalyst from 0.5 nm to 3 nm and synthesis time. The binding energies in X-ray photoelectron spectroscopy (XPS), 229.5 eV and 232.6 eV corresponded with Mo 3d5/2 and Mo 3d3/2 respectively, indicates the 2H-MoS2 structure showing semiconducting behavior. We also fabricated Molybdenum disulfide transistor with bottom gate structure. The device shows mobility of ~0.02 cm^2/V.s and on/off ratio of ~10^3 which can be improved by reducing contact potential and effective charged impurities at interface.
9:00 AM - W17.16
SWNT Growth from Pt Catalyst at Very Low Pressures by the Alcohol Gas Source Method
Takahiro Maruyama 1 Naoya Fukuoka 1 Hiroki Kondo 1 Ranajit Ghosh 1 Shigeya Naritsuka 1
1Meijo University Nagoya Japan
Show AbstractRecently, we have been reporting single-walled carbon nanotube (SWNT) growth by a gas source method in an ultra-high vacuum (UHV) chamber using ethanol gas [1, 2]. This growth technique enables SWNT growth in a high vacuum, and our group achieved SWNT growth at an ambient ethanol pressure of 1×10-4 Pa with a Co catalyst. However, the SWNT yield decreased significantly, because the growth temperature had to be reduced to 400#9675;C. In this study, we carried out SWNT growth at various temperatures using a Pt catalyst. Our results showed that the optimal growth pressure was considerably reduced in the growth from a Pt catalyst, while the yield was higher. SiO2/Si substrates were used for the SWNT growth. After deposition of a Pt catalyst (0.2 nm in thickness) by a pulsed arc plasma gun in a UHV chamber, the substrate temperature was increased to the growth temperature. Then, ethanol gas was supplied to the substrate surface for 1 h. The growth temperature was varied between 400 and 700#9675;C and the ethanol pressure was varied between 1×10-5 and 1×10-1 Pa. The resulting SWNTs were characterized by SEM, TEM and Raman spectroscopy. Raman and TEM results showed that most of grown nanotubes were SWNTs. SEM and Raman results showed that the SWNT yield reached its maximum at 800#9675;C, at which the ambient ethanol pressure was 1×10-2 Pa. As the growth temperature was reduced, both yield and optimal ethanol pressure decreased. At 700#9675;C, the yield became its maximum at 1×10-3 Pa, while it was fairly higher than the maximum yield obtained in the growth with Co at 1×10-1 Pa. This indicates that Pt is a highly efficient catalyst for SWNT growth and that it is suitable for SWNT growth under low ethanol pressure. By optimizing the growth pressure, we could obtain SWNTs from a Pt catalyst even at 400#9675;C. From the analysis for RBM peaks in the Raman spectra, the average diameter of the grown SWNTs and the diameter distribution were found to be much smaller and narrower, compared to those grown with Co. In addition, the ratio of semiconducting SWNTs was larger than those grown with Co. These results suggest that Pt catalyst is suitable for growth of SWNTs for device applications. [1] K. Tanioku, T. Maruyama and S. Naritsuka, Diamond Relat. Mater. 17 (2008) 589. [2] T. Maruyama et al. , J. Nanoscie. Nanotechnol. 10 (2010) 4095.
9:00 AM - W17.17
Thermal Kinetics Study of Epoxy Resin Nanocomposites
Haralampos Zois 1 Athanasios Kanapitsas 2 Adamos Stimoniaris 3 Constantinos G. Delides 3
1Merchant Marine Academy of Epirus Preveza Greece2Technological Educational Institute of Lamia Lamia Greece3Technological Educational Institute of West Macedonia Kozani Greece
Show AbstractThe thermomechanical properties and thermal stability of polymer nanocomposites, consisting of epoxy resin (ER) as matrix reinforced with carbon black (CB) nanoparticles or amine-modified multi-walled carbon nanotubes (MWCNTs) as filler, were investigated by Thermogravimetric/ Differential Thermal Analysis (TGA/DTA) and Dynamic Mechanical Analysis (DMA). Our interest was focussed on the effect of different filler concentration on the thermomechanical properties, thermal stability and degradation processes of the nanocomposites. The addition of carbon nanofillers enhances, generally, the thermal behavior of the neat epoxy matrix. TGA results reveal that the system shows, for all heating rates, good thermal stability for temperatures up to about 600 K with a maximum decomposition temperature higher than 630 K. For the samples with higher carbon concentration the degradation process of the nanocomposites is hampered by the increased filler content, because the filler nanoparticles form strong bonds with the epoxy matrix and, as a result, a complex network structure is created. The apparent activation energies during thermal decomposition process were calculated from measurements at different heating rates by using Kissinger method. The results demonstrate values of Eact in the range 216-237 kJ/mol for the filled ER/CB nanocomposites, higher than that of the neat epoxy resin (Eact= 201.15 kJ/mol).
9:00 AM - W17.18
Hydrogen Storage in Graphite Oxide/Poly(Sodium 4-styrene Sulfonate) Composite by Hydrogen Diffusion Length Control
Tae Hyung Kim 1 Tae Hun Lee 1 Eun Ju Ra 2 Hae Kyung Jeong 2 Young Hee Lee 1
1Sungkyunkwan University Suwon Republic of Korea2Daegu University Gyeongsan Republic of Korea
Show AbstractGraphene oxide(GO) from graphite oxidation is water soluble due to fluent functional groups such as epoxide, carboxyl, hydroxyl. Water soluble GO is not only easily combined with water soluble polymer but also interlayer distance of GO is increased by intercalation of polymer. Original properties of polymer remains even intercalated in GO. With this GO/polymer composite has attracted to many researchers in capacitor, battery field. In capacitor, GO/polymer composite achieved almost 200 F/g in aqueous electrolyte. In this study, we used water soluble poly(4-sodium styrene solfonate) as a intercalated polymer and host material GO was as a backbone material. With this composite material we, investigated the hydrogen storage adsorption amount and hydrogen diffusion kinetics study with different film thickness of GO/PSS composite. GO was made by modified brodie method which interlayer distance around 6 #8491;. However, vigorous stirring with Go and PSS during 24 hr, the interlayer distance of GO/PSS was increased around 8 #8491; at the XRD. From the FT-IR we can confirm the original properties of GO and PSS were still remain in the GO/PSS composite material. Hydrogen storage capacity test was done at the quartz crystal microbalance at 5 atm, room temperature. The capacity of GO was less than 0.01 wt% however, PSS intercalated GO was shown the 1.3~1.4 wt% hydrogen capacity. Several reproducible experiment has similar results with a range of 1.3~1.4 wt% capacity. Also, we confirmed the hydrogen diffusion rate was changed by the GO/PSS composite material film thickness.
9:00 AM - W17.19
Characteristics of Carbon Materials dDrived from Cellulosic Natural Fibers
Seong Ok Han 1 I Na Sim 1 Heeyeon Kim 1
1Korea Institute of Energy Research Daejeon Republic of Korea
Show AbstractCarbon materials were prepared by heat treatment of cellulosic fibers such as henequen, cotton in the range of 500~1700°C, and characterized various properties of thermal stability, electrical properties and morphologies. Carbon fibers and carbon globule were formed depending on the heat treated temperature and carbon source. The carbon materials treated at below 1000°C had amorphous phase and the treatment at 1700°C induced the materials similar to glassy carbon. The higher treated temperature is, the electrical conductivity is improved in the range of micro scale. However, it is found that the existence lignin, inorganic elements in the natural fiber interferes to obtain the homogeneous carbon fiber.
9:00 AM - W17.20
Magnetic Properties of Carbon Nanodisks and Nanocones Powder
Jozef Cernak 3 Geir Helgesen 1 2 Arne Skjeltorp 1 Jozef Kovac 4 Jozef Voltr 5 Erik Cizmar 3
1Institute for Energy Technology Kjeller Norway2University of Oslo Oslo Norway3P.J. Safarik University in Kosice Kosice Slovakia4Slovak Academy of Sciences Kosice Slovakia5Czech Technical University in Prague Prague Czech Republic
Show AbstractWe have investigated the magnetic properties of carbon powders which consist of nanodisks, nanocones and a small fraction of carbon black particles. Magnetization measurements were carried out using a supercomputing quantum interface device (SQUID) in magnetic fields -5 < B < 5 T for temperature 2 < T < 350 K. In the temperature range 2 < T < 10 K and after subtraction of the diamagnetic background, the magnetization shows a strong paramagnetic temperature dependence and this cannot be fitted to a Curie law. At T = 2 K, magnetization versus magnetic field shows a paramagnetic free spin behavior in the case when B/T > 1T/K, which could be a signature of electron localization in high magnetic fields at low temperature. This is in agreement with an electron g-factor close to the free electron value g=2.002 measured by EPR in these samples. Magnetization measurements also show an additional weak ferromagnetic contribution which is clearly higher than what can be attributed to a low level (< 100 ppm) of Fe impurities found in the samples.
9:00 AM - W17.22
Gas-sensing Properties of Tin Oxide Doped with Carbon Nanofibers
Ashok Batra 1 Jason Stephens 1 James R Currie 2 1 M. D. Aggarwal 1
1Alabama Aamp;M University Normal USA2NASA-MSFC Huntsville USA
Show AbstractMetal oxide materials such as SnO2, ZnO, TiO2, WO2, Ga2O3, and others have been examined for gas sensing applications and for control of industrial processes. In the present study, our aim has been to investigate bulk sensors via ceramic processing of nanoparticles of tin oxide under different pressing pressures, reinforced with nano-carbon fibers, and WO3 for their response to ethyl alcohol. The study was also performed to determine their detection capability of sensors for different concentrations of ethyl alcohol. The SnO2/CNF sensor&’s sensitivity for ethyl alcohol has increased by a factor of two, in comparison with that of pure SnO2 8-ton pressed sensor with lower response time. These results open the way towards further optimized lower cost CNF nanocomposite sensors as compared with expensive tin oxide/carbon nanotubes sensors.
9:00 AM - W17.23
Thin Film Transistors on Transparent Substrates with Hybrid Nanocarbon Materials
Si Young Lee 1 Nguyen Van Luan 1 Sang Hoon Chae 1 Sang Won Lee 1 Young Hee Lee 1
1Sungkyunkwan University Suwon Republic of Korea
Show AbstractUse of monolayer graphene is of great interest for transparent conducting film due to its remarkable properties such as sheet resistance, transparency and flexibility. Separated semiconducting carbon nanotubes have been widely utilized for high performance thin film transistors for display application. By combining these two unique materials and using transparent plastic, we fabricated fully transparent and flexible thin film transistors and logic circuits whose electrodes are monolayer graphene and channels are semiconducting carbon nanotubes. The obtained transistors showed various on/off ratio of up to 10^5 and mobility of up to 12 cm^2/Vs. The performance of transistors can be adjusted by changing the density of carbon nanotubes. The fabricated inverter showed extraordinarily high performance and gain of 45 with transistors that have high on/off ratio more than 10^5.
9:00 AM - W17.24
Structural and Morphological Modification Nitrogenated Diamond Films Electrodes
Nazir Monteiro dos Santos 1 Tatiane Moraes Arantes 1 Neidenei Gomes Ferreira 1 Mauricio Ribeiro Baldan 1
1National Institute for Space Research Sao Jose dos Campos Brazil
Show AbstractThe purpose of this work is to study the structural and the surface morphology modification of the n-type diamond films electrodes as a function of nitrogen doping. The characterization of these films were made by Raman Spectroscopy, by X-ray diffraction and by Scan Electron Microscopy (SEM) and associates them to the electrochemical efficiency for the degradation of pesticides. The addition of nitrogen causes a distortion in the diamond structure increased its mechanical tensions and the number of defects (vacancy). By introducing these distortions, nitrogen behaves as a donor with high excitation energy, which characterizes it as a deep donor with a level at 1.7 eV within the forbidden energy band of the semiconductor [1]. Nitrogen may also influence the growth rate, quality and crystallographic direction of diamond growth. The nitrogen-doped nanodiamond (NDND) electrodes were produced using hot filament-assisted chemical vapor deposition method (HFCVD) from methane, hydrogen and nitrogen gas mixture. The methane and hydrogen flows (1 and 99 sccm, respectively were kept constant) and nitrogen flow was varied in the range between 1 to 15 sccm. The pressure in the reactor was 40 Torr and the temperature of the silicon substrate being maintained at about 800 °C. The results from Raman spectroscopy show that the undoped film presented only one peak centered at 1332 cm-1 characteristic of the diamond. The NDND presented the following peaks, at 1332 cm-1 from diamond, broad band at 1400-1700 cm-1 from graphite and strong band at 2100-2750 cm-1 from trans-polyacetylene. Through the images obtained from scanning electron microscopy (SEM), we find that the variation in the nitrogen doping influence the growth rate of film by promoting changes in the sizes of grains. This behavior supports the results obtained from X-ray diffraction analysis. It was possible to verify that a decreasing in the crystallite size from 50 to 20nm as a function of the nitrogen increase.
9:00 AM - W17.25
ATAC: Accelerated Topological Annealing of Carbon
Zachary Bullard 1 Vincent Meunier 1
1Rensselaer Polytechnic Institute Troy USA
Show AbstractATAC is a software tool that seeks to provide a model for simulating the annealing of carbon structures, for understanding current transformations, and also predicting them. By using molecular dynamics, Metropolis algorithms, and graph theory, large computational speed gains are achieved with the application of novel topological rules. The experimental transformation of the peapod structure to a double wall nanotube is successfully modeled, as well as the fusing of single wall nanotubes into junctions. Also discussed is a theoretical synthesis path for the creation of Haeckelite, as predicted by ATAC.
9:00 AM - W17.27
Highly Ordered Carbon Nano-rod Arrays through Nanocasting into Mesoporous Silica Templates
Amy J. Grano 1 Franchessa M Sayler 1 Jan-Henrik Smatt 2 3 Martin G Bakker 1
1The University of Alabama Tuscaloosa USA2amp;#197;bo Akademi University Turku Finland3amp;#197;bo Akademi University Turku Finland
Show AbstractThe development of synthesis methods for carbon nanotubes and nanosheets has lead to materials with interesting new properties. These however tend to be molecular materials, i.e. the syntheses produce single entities. For many applications in energy storage and catalysis these single entities need to be grown directly onto a scaffold, or attached onto a scaffold. There are however other approaches to the assembly of nanoscaled carbon materials. One of these is nanocasting of carbon into a mesoporous silica template. This approach yields arrays of 4-6 nanometer diameter carbon nanorods with hexagonal packing (among a number of potential geometries). We have taken this approach a step further by using hierarchically porous silica monoliths as templates. This produces arrays of carbon nanorods which form the walls of micrometer sized pores. TEM shows that the carbon nanorods form well ordered arrays, SEM, Small Angle XRD and nitrogen sorption show that the material is homogeneous throughout the entire sample volume (typically 1 cm3 volume). Such materials are of particular interest as catalyst supports and as current collectors for fuel cells and advanced batteries. For catalyst applications the very high surface area (>680 m2/g) coupled with the very low resistance to flow makes these materials very attractive for catalysis in general, particularly as flow through catalysts. For advanced energy utilization and energy storage, the bicontinuous nature of the materials makes for very efficient transport of electrons, ions and reactants within the materials.
9:00 AM - W17.28
Growth and Characterization of Silicon Carbide (SiC) Nanowires by Chemical Vapor Deposition (CVD) for Electronic Device Applications
Gary L Harris 1 Karina Moore 1 Crawford Taylor 1 James Griffin 1
1Howard University Washington USA
Show AbstractSiC processes several unique chemical and physical properties that make it an attractive alternative to Si as a semiconductor material. Silicon carbide&’s properties make it a perfect candidate for applications such as high temperature sensors, x - ray emitters and high radiation sensors. The main objective of this thesis is to successfully grow silicon carbide nanowires on silicon substrates with the assistance of a metal catalyst, by the process of chemical vapor deposition (CVD). The nanowires grown were characterized by a variety of different methods including scanning electron microscopy (SEM), energy dispersive x - ray spectroscopy (EDS) and Raman spectroscopy. The effect of temperature, growth temperature, growth time and the catalyst type used are investigated to determine the most suitable conditions necessary for SiC nanowire growth. The results suggest that a growth temperature of 1050oC using a Ni catalyst (5 - 10 nm) and a silane flow rate of 35 sccm produces long nanowires densely grown over the areas of the substrate coated with the catalyst. EDS and Raman spectroscopy proved the nanowires were indeed silicon carbide and SEM analysis proves the nanowires grown had diameters of 50 - 100 nm.
9:00 AM - W17.29
Effect of Antioxidants on the Oxidation Resistance of Alumina-nanocarbons Refractories
Leonardo Mitre 1 Guilherme F. B. L. E. Silva 2 Clascidia A. Furtado 1 Adelina Pinheiro Santos 1
1Centro de Desenvolvimento da Tecnologia Nuclear - CDTN/CNEN Belo Horizonte Brazil2Universidade de Samp;#227;o Paulo Samp;#227;o Paulo Brazil
Show AbstractCarbon-containing alumina and magnesia-alumina refractories are commonly used in steelmaking applications due to their excellent high-temperature strength and thermal shock resistance. Carbon is widely used in the form of natural flake graphite and at a high weight fraction ranging from 8 to 20 wt%. In recent years, one of the most important trends in refractory technology has been the development of low carbon-containing refractories. Besides the fact that flake graphite supply has become scarce, and graphite prices have started to rise, low carbon-containing refractories are needed to meet the most stringent requirements imposed by the steel industry carbon, avoiding the carbon contamination from refractory to liquid metal. Recent research has demonstrated that replacing graphite content with nanostructured forms of carbon allows considerable reduction of the total amount of carbon in graphite-containing refractories, resulting in materials with lower thermal conductivity (less heat loss in refractory brick and less damage to the furnace shell), and better performance for low carbon steel production. However, the nanostructured carbons have the disadvantage of being much more susceptible to oxidation at high temperatures due to their larger surface areas. In this work, seeking to reduce this effect, the influence of adding elements with high affinity to oxygen (Si, Ti, B) on the oxidation resistance of alumina-nanocarbon refractories were investigated through the factorial design of experiments. That is, the significance of the effect of three carbon sources (multiwall nanotubes, nanofibers and carbon black), two temperatures (750 and 1000 °C) and two burn-times (1 and 3 hours) on the carbon content was identified. Carbon losses were obtained using thermogravimetric analysis and oxidized areas of the bricks were examined using SEM and EDS. The synergistic effects of antioxidants and nanocarbons on refractory formulations were demonstrated.
9:00 AM - W17.30
Controllable Synthesis of Tubular Carbon Nanorods by Templating of Nickel-hydrazine Complex Nanorods
Hongxia Yu 1 2 Qiao Zhang 2 Jinyou Shen 1 Jiansheng Li 1 Xiuyun Sun 1 Yadong Yin 2 Lianjun Wang 1
1Nanjing University of Science amp; Technology Nanjing China2University of California, Riverside Riverside USA
Show AbstractCarbon nanomaterials have attracted much attention due to their unique properties and potential applications. In this presentation, we report the controllable synthesis of tubular carbon nanorods with controlled aspect ratios on a large scale by templating against rod-like nanocrystals. The rod-like nickel-hydrazine complexes were first prepared through a reverse micelle approach, followed by the subsequent coating of a polymer layer and selective etching of nanocrystal core, giving rise to tubular carbon nanorods of high uniformity and yield. The length of the tubular carbon nanorods is tunable in the range 30-500 nm and can reach as long as micrometers. Control of the length is conveniently achieved by tuning the hydrazine/nickel ratio, which affects the growth kinetics of the nanocrystal templates. The inner diameter of the tubular carbon nanorods can be adjusted in the range 10-20 nm by choosing different surfactants. The as-prepared carbon nanomaterials show excellent property in the electrochemical applications, which may open the door for its practical applications in many other fields, such as electrodes, batteries, and so on.
9:00 AM - W17.31
Raman Spectrum of the Buffer Layer on SiC(0001)
Felix Fromm 1 Myriano H. Olivera 2 Joao M. Lopes 2 Martin Hundhausen 1 Henning Riechert 2 Thomas Seyller 1
1Universitaet Erlangen-Nuernberg Erlangen Germany2Paul-Drude-Institut fuer Festkoerperelektronik Berlin Germany
Show AbstractRaman spectroscopy is widely used to investigate epitaxial graphene grown on silicon carbide (SiC) where a strong emission due to two-phonon processes in the substrate overlaps the D and G line of the graphene overlayer. Commonly, that background is removed from the spectrum by subtracting the spectrum of a clean SiC substrate, a procedure which relies on the assumption that the Raman spectrum contains contributions from SiC and graphene only. It is very well known that graphene on SiC(0001) sits ontop of the buffer layer which has been discussed in terms of a strongly interacting layer of carbon atoms with graphene-like atomic structure. In order to identify a possible contribution of the buffer layer to the measured Raman spectrum of graphene on SiC we have carried out a careful comparison of the Raman spectra of monolayer (MLG) and bilayer graphene (BLG) on the buffer layer, the buffer layer alone, and quasi-freestanding graphene on H-terminated SiC(0001). The spectrum of the buffer layer, for which the 2D line is missing, contains two rather broad features, one centered at around 1350 1/cm and one at 1580 1/cm. The latter is accompanied by a smaller peak at the low-energy side situated at around 1485-1490 1/cm. It is evident that these features are also present in the spectra of MLG and BLG, but absent in the spectrum of QFMLG. This spectrum is therefore the intrinsic spectrum of the buffer layer and the origin of it will be discussed in the presentation.
9:00 AM - W17.32
Synthesis of a New Class of Molecule Li@C60(OH)12 as ``Cation Encapsulated Anion Nanoparticle'' by Multi-hydroxylation of Lithium Encapsulated Fullerene
Naohiko Ikuma 1 Hiroshi Ueno 1 Takumi Oshima 1 Ken Kokubo 1
1Osaka University Osaka Japan
Show AbstractLithium encapsulated fullerene Li+@C60, which is the only isolated and structurally well-determined endohedral fullerene as SbCl6- salt among all the C60-based and alkaline-metal-encapsulated fullerenes, has attracted growing attention in the field of organic electronics and materials chemistry due to its strong electron accepting ability and great ionicity induced by the encapsulated lithium cation. On the other hand, hydroxylated fullerenes, so-called fullerenols C60(OH)n, have also attracted a considerable attention owing to their prominent hydrophilicity, bioactivity, and unique conductivity. Thus, the combination of these properties will lead to a new compound which can play a significant role in the field of materials chemistry. Here, we report the synthesis of lithium cation encapsulated fullerenol anion Li+@C60(OH)12 by means of hydroxylation of [Li+@C60][PF6-] and its notable properties induced by the lithium cation and the outer hydroxyl groups. The hydroxylation of [Li+@C60][PF6-] was carried out by the reported fuming sulfuric acid method under the optimized reaction conditions. The analytical data by IR, NMR, MALDI-TOF MS, and elemental analysis clearly showed the formation of lithium encapsulated fullerenol with eleven -OH groups and one fullerenoxide anion (C60O-) moiety. Very interestingly, each of hydroxyl groups was clearly detected by 1H NMR spectroscopy, whereas the reference empty fullerenol synthesized by the same procedure showed the highly broadened OH signals due to the presence of a wide variety of isomers. The 13C NMR spectrum of the product showed 12 signals assigned for sp3 carbons at 70-80 ppm together with over 40 signals of sp2 carbons at 130-160 ppm. This observation suggests that the encapsulated lithium cation induced the site-selective multi-hydroxylation in contrast to the case of the empty fullerene. Furthermore, it is noteworthy that a counter anion PF6- was not detected by 31P and 19F NMR spectroscopy. In 7Li NMR spectrum, the chemical shift of the encapsulated Li+ was found to be more shielded (ca. -15 to -19 ppm) than previously reported value of -10.5 ppm for [Li+@C60][SbCl6-] salt. This abnormal upfield shift of our sample may be caused by the increased diamagnetic shielding by the attractive negative charge of fullerenoxide moiety. Therefore, our new compound could be considered as “cation-encapsulated anion nanoparticle”. The aggregation behavior and zeta potential of the product will also be discussed in our presentation.
9:00 AM - W17.33
The Effects of Pulsed Green Laser Annealing for Carbon NanoWalls
Norihito Kawaguchi 1 Akihiko Yoshimura 2
1IHI Corporation Yokohama Japan2IHI Corporation Yokohama Japan
Show AbstractCarbon NanoWalls (CNWs) is the one of the nano-carbon materials including graphene and carbon nanotubes(CNTs) and is possible to be grown at low temperature below 700°C without the catalysis by plasma enhanced chemical vapor deposition(PECVD)[1]. CNWs is also expected as the functional material as well as CNTs and graphene, several researchers have tried CNWs to many applications for example, the field emission [2], the template supported by Pt catalysts[3] and so on, however it have not been successful to find the useful applications compared with the CNTs and graphene. The one of the reasons was assumed that the grain size of CNWs are very small (about 20nm) including the amorphous regions[1], the crystallinity was poor. In this study, the effects of the pulsed green laser annealing (laser source: repetition rate:1kHz, wavelength: 527nm, pulsed width: 180ns, homogenized line beam: 7mm length, 0.1mm width) at ambient nitrogen to two different heights-CNWs grown on silicon substrate were investigated for the crystallinity and morphology using by Raman spectroscopy, scanning electron microscopy(SEM) and X-ray photoelectron spectroscopy(XPS). For the 1mu;m height-CNWs, the peak of D band spectra which indicated the disorder[4] by Raman spectroscopy decreased as the laser energy density increased up to 1.3Jcm-2, the crystallinity of CNWs was improved by the laser irradiation. The morphology was not changed by the observation of SEM. On the other hand, for the 20mu;m height-CNWs, the peak of D band spectra decreased until the laser energy density increased up to 0.8Jcm-2, above 0.8Jcm-2, the peak of D band spectra rose again, and the profiles of D band and G band spectra were also broadening as the laser energy density increased. It is assumed that above 0.8Jcm-2, the CNWs melted to be recrystallized including the disorder or amorphous. By the analysis of XPS, sp3 orbitals which indicated the diamond structure irradiated at 1.5Jcm-2 increased compared with CNWs irradiated at 0.8Jcm-2 and as grown-CNWs. The morphology of CNWs irradiated at 1.5Jcm-2 was changed by the observation of SEM. As-mentioned above, the pulsed green laser annealing is effective for the improving the crystallinity of CNWs. [Reference] [1] K. Kobayashia et.al., J. Appl. Phys. 101, 094306 (2007) [2] Yihong Wu et.al., J. M a t e r. C h e m., 2004, 14, 473 [3] Seog Chul Shin et.al., J. Appl. Phys.110, 104308 (2011) [4] S. Kurita et.al., J. Appl. Phys. 97, 104320 (2005)
9:00 AM - W17.34
Tunable and Scalable Synthesis of FeCo/Graphite Core-shell Nanoparticles
Amin Azizi 1 Tushar Khosla 1 Brian S. Mitchell 1 Noshir S Pesika 1
1Tulane University New Orleans USA
Show AbstractAmong various carbon-coated magnetic nanoparticles that have been studied for potential biomedical applications, such as magnetic resonance imaging (MRI) and drug delivery, FeCo nanoparticles are promising candidates because of their high saturation magnetization and high Curie temperature. However, the ease of oxidation, dissolution in acidic environments, and potential toxicity of these materials in their native state prevent their use in biomedical applications. A graphitic coating on the surface of FeCo particles would address each of these issues and has been explored as a means to render them biocompatible. This report offers an efficient and tunable method for coating metal nanoparticles with a graphitic shell for practical applications. FeCo/graphitic carbon core/shell (FeCo/GC) nanoparticles were synthesized using a controllable salt-matrix-assisted mechanochemical method. Mechanically milled CoFe2O4 nanoparticles were reduced to FeCo and the resulting metallic nanoparticles were encapsulated into onion-like layered graphitic shells by a one-step salt-matrix-assisted carbon monoxide gas reductive annealing. The graphitic structure of the carbon shells was demonstrated through high-resolution transmission electron microscopy (HRTEM), X-ray diffraction (XRD) and Raman spectroscopy. The synthesized FeCo/GC nanoparticles showed a lower magnetic saturation than our previous report (216.7 emu/g) for single phase FeCo nanoparticles produced by the mechanochemical method [A. Azizi et al. J Magn Magn Mater 322(2010) 3551-3554], which is attributed to the presence of the nonmagnetic carbon coating.
9:00 AM - W17.35
Cyclic Transformation in Shape and Crystal Structure of C60 Microcrystals
Akito Masuhara 1 2 3 Zhenquan Tan 4 Masataka Ikeshima 1 Toshimitsu Sato 1 Keigo Matsuda 1 3
1Yamagata University Yonezawa Japan2Yamagata University Yonezawa Japan3Yamagata University Yonezawa Japan4Osaka University Ibaraki Japan
Show AbstractThe cyclic transformations in shape and crystal structure of C 60 microcrystals in dispersion are experimentally demonstrated by changing the dispersion solvent medium, and keeping the dispersion under supersonic irradiation. Rod-shaped C60 microcrystal as a starting material was fabricated by the reprecipitation method as follows: 50 mu;l of m-xylene solution (2 mM) of C60 was quickly injected into 10 ml of vigorously stirred 2-propanol as a poor solvent. The dispersion liquid was changed slowly from pale yellow to brownish-yellow, which indicates the formation of C60 microcrystals, and should be further kept to stand for several hours to complete the crystal growth. To perform transformation experiments, the resulting rod-shaped C60 microcrystals were filtrated, and then redispersed into 10 ml of another poor medium under supersonic irradiation at 42 kHz, 125 W. The similar procedure was repeated to cause further change by changing the dispersion solvent medium. The shape of C60 microcrystals was changed from as-grown rod-shape to hexagonal disc-shape, then to belt-shape, and again back to rod-shape. The transformation processes were investigated by means of TEM, XRD and FT-IR measurements. As a result, the present transformation is regarded to be a crystal-to-crystal phase transition induced by the exchange of the dispersion medium, which is eventually ascribed to weak intermolecular interactions between C60&’s inside crystal.
9:00 AM - W17.36
Study of Hydrothermal Treatment Effects on [6,6]-phenyl-C61-butyric Acid Methyl Ester
Hyojung Kim 1 Kyu Won Lee 1 MinHa OhCheol Eui Lee 1
1Korea University Seoul Republic of Korea
Show AbstractIn this paper hydrothermal treatment effects on [6,6]-phenyl-C61-butyric acid methyl ester (PCBM), which is an electron acceptor material often used in plastic solar cells, were investigated in view of the underlying physico-chemical processes occurring in the aqueous solution. PCBM powder was hydrothermally treated by using proper aqueous solution of water. The resulting products were characterized by Fourier-transform infrared (FT-IR) spectroscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and magnetic susceptibility measurements. Also, optical properties of the PCBM were investigated by the photoluminescence (PL) measurements. A strong change in the FT-IR spectra appeared in a five-days-treated sample; the four intramolecular F1u-derived modes exhibit softening and are split by the formation of the interfullerene bonds. Two vibration modes for the C60 moiety in PCBM each at 1470 and 1430 cm-1 with different intensities can be found in the IR spectra. Changes in the Raman spectra appeared at the frequency corresponding to the stretching mode of the fullerene cage, indicating that the fullerene cage was strongly affected by the treatment. The photophysical properties of PCBM were also investigated for the hydrothermally treated PCBM. The pristine PCBM powder showed an intense PL peak at 700nm, which has previously been assigned to emission from the S1 singlet excited state of PCBM by comparison with the C60 data. The decrease in the PL intensity was shown in a one-day treated and three-days-treated PCBM samples. The resulting products were characterized by using a superconducting quantum interference device. The one-day-treated PCBM sample showed quite a strong ferromagnetic ordering at 5 K. The XPS spectra showed strong changes in the chemical bonding in the hydrothermally treated PCBM. The peaks at 284.6 and 285.6 eV correspond to the sp2 and sp3 hybridized carbon atoms as in graphite, respectively. The formation of a mixed sp2-sp3 hybridization, which might be responsible for magnetism, will be discussed.
9:00 AM - W17.37
Thermal and Ablative Properties of Polyurethane Hybrid Composites Reinforced with POSS
Ho-Joong Kim 1 Chang Kee Kim 2 Younghwan Kwon 1
1Daegu University Gyeongsan Republic of Korea2ADD Daejeon Republic of Korea
Show AbstractResearch into organic-inorganic hybrid composites has become popular, particularly the development of new polymer nanocomposites. Compared to pristine polymers or conventional composites, these hybrid nanocomposites exhibit improved properties. Therefore, this method delivers new materials with significantly improved thermal and mechanical properties, while still permitting the use of existing commercial processes. Currently, a new class of materials has been used to investigate the behavior of nanoparticles, called polyhedral oligomeric silsesquioxanes (POSS). These are a class of three dimensional organic-inorganic hybrid silicon-oxygen particles with the generic formula of (RSiO1.5)n. These molecules contain an inner inorganic framework covered by inert and/or reactive organic substituents. POSS molecules with well defined shapes and sizes ranging from 1 to 3 nm have been described as the smallest version of colloidal silica. When there is covalent bonding between the POSS and polymeric matrix, reinforcement is favored. In this study, PU/POSS hybrid composites are prepared by a one-step PU reaction. The effect of incorporation of functionalized POSS molecules covalently or physically into PU matrix is investigated on mechanical reinforcement and thermal stability of these resulting PU/POSS hybrid composites. PU/POSS hybrid composites prepared with reactive POSS molecules exhibit the mechanical reinforcement, due to the fact that reactive POSS molecules chemically incorporated in PU are aggregated to crystallize, effectively working as a physical crosslinking in PU/POSS hybrid composites. Thermal degradation behavior of these hybrid composites in the early stage rather appeared to depend preferably on characteristics of POSS molecules incorporated, but after the major thermal decomposition in the range of 450 ~ 500 oC the composites with reactive POSS molecules can form a thermally stable ceramic char layer under thermooxidative condition. The ceramic char layer is clearly observed after the ablation test using oxyacetylene torch. It reveals compact multiple layers of spherical POSS particles with 30~50 nm diameter, which is consistent with size of POSS crystal domain formed in PU/POSS composites.
9:00 AM - W17.39
The Physics Essence of Mono-dispersed Nanometer Particle Boundary Energy
Lihong Su 1 Caixia Wan 1
1Northwestern Polytechnical University Xi'An China
Show AbstractThe difference of edge energy between nanometer material that its size is below dc. The surface energy quantifies the disruption of intermolecular bonds that occur when a surface is created. The traditional theory is incorrect for nanometer material. It not only needs the energy consumed by the cutting surface bond process, but also the energy to form quantum standing wave that opposite surface edge bond caused.The nanometer material form process need more energy than the size surpass dc material.The new theory can explain nanometer powder melting point decreasing phenomuna.
9:00 AM - W17.40
Electrical Conductivity of Carbon Aerogel Interfaced with Subsonic Gaseous Flow
Patrick L. Garrity 1 Thomas E. Slack 1 Leszek M. Malkinski 2
1Loyola University New Orleans New Orleans USA2University of New Orleans New Orleans USA
Show AbstractCarbon aerogel exhibits a novel low-dimensional dendritic growth pattern that results in a porous structure with a remarkably high surface area often exceeding 700 m2/g. This structurally strong material is electrically conductive which, coupled with the huge surface area to volume ratio, suggests the probability of dominant kinetically induced electronic surface scattering. Currently, a formal study of nanoscale kinetic surface scattering effects induced by a gaseous boundary has received little attention, despite the large surface area to volume ratio of many nanostructures. In this work, we consider the perturbing effects to the electron cloud or jellium of 500 µm thick monolithic carbon aerogel when submitted to airflow of varying interaction energies. A lab-grade wind tunnel capable of 40 m/s (Mach 0.11) is used to induce the kinetic scattering environment between the air and aerogel structure. The air impact energy is varied by changing the flow speed from stationary to high speed flow over the aerogel samples. The scattering effects of the gaseous boundary are clearly observable through electrical conductivity reductions as the air impact energy increases. This work reports the conductivity perturbations as a function of airflow. The perturbed transport properties of the aerogel are explained by kinetic surface scattering mechanisms that dominate the scattering landscape of high surface area to volume ratio materials as suggested by comparative measurements on bulk materials. Additional motivation for this work is to develop carbon aerogel velocimetry, a technologically important measurement capability that may be implemented discretely or in sensor “swarms.”
9:00 AM - W17.41
Nanoporous Carbon Nitride with Tunable Structures and Nitrogen Contents and Their Catalytic Functions
Chokkalingam Anand 1 Vishnu Priya Subramaniam 1 Dattatray Dhawale 1 Ajayan Vinu 1
1The University of Queensland Brisbane Australia
Show AbstractMesoporous carbon nitrides with different structures, nitrogen contents and crystal structure have been prepared by a simple hard templating approach in which mesoporous silica templates with different pore diameters and different structures are used as templates. The amount of the nitrogen in the mesoporous carbon nitrides has been controlled by the simple adjustment of the nature of the nitrogen sources with different nitrogen content. The materials are thoroughly analyzed by sophisticated characterization techniques such as X-ray diffraction (XRD), N2 adsorption studies, high resolution transmission electron microscopy (HRTEM), X-ray photoelectron spectroscopy and CHN analysis. We also demonstrate that the basic character of the samples can be tuned by controlling the nitrogen in the carbon framework of the mesoporous carbon nitrides whereas the structure of the mesoporous carbon nitrides is controlled by varying the structure of the inorganic mesoporous silica templates. By this way, mesoporous carbon nitrides with uni, two and three dimensional porous structures are fabricated. The basic properties of the materials are investigated by the Temperature programmed desorption of CO2. It is found that the materials with a high nitrogen contents offers a high basicity. Finally, the basic catalytic performance of the mesoporous carbon nitride (MCN) for the transesterification of ethyl acetoacetate with various alcohols such as 1-butanol, 1-octanol, cyclohexanol, benzyl alcohol and furfuryl alcohol under heterogeneous reaction conditions without using any solvents is demonstrated. The catalytic results reveal that the mesoporous carbon nitride with three dimensional structure is found to be an efficient catalyst in transesterifying long and short chain primary alcohols, and cyclic and aromatic alcohols to afford their corresponding β-keto esters in high yields. More importantly, the catalyst is highly active when 1-butanol is used. The influence of various parameters such as temperature, reactant feed ratio, catalyst weight, and time-on-stream on the yield of the final product was studied in detail. In addition, the activity of the catalyst is also compared with pure mesoporous carbon and the results are discussed. The recyclability studies revealed that the MCN catalyst was highly stable under the rigorous reaction conditions and can be reused without loss of catalytic activity. The effect of structure and the nitrogen content affecting the catalytic activity of the materials is also investigated and the results will be discussed during the conference.
9:00 AM - W17.42
Study of Electrically Conductive Carbon Nanocomposites
Wilailak Chanklin 1 Felipe Chibante 1
1University of New Brunswick Fredericton Canada
Show AbstractThe anisotropic electrical conductivity of carbon nanotubes has stimulated worldwide interest in using nanotubes to enhance polymer conductivity. Generally, the conductivity of such composites increases drastically at a certain concentration of the conductive component called percolation threshold. Given the high aspect ratios and small diameters of carbon nanotubes, the percolation thresholds are often reported below 1 wt%; although nanotube dispersion and alignment strongly influence this value. In this research, we studied the fundamental effects of different nanostructures and processing conditions and host matrix as it relates to electrical conductivity in order to numerically derive the percolation threshold. Models typically account for filler volume fraction, component conductivity, compatibility between filler and polymer matrix, filler aspect ratio, and filler orientation. Control of the percolation property demonstrated novel piezo-resistive effect useful for pressure sensing applications.
9:00 AM - W17.43
Percolation of Onion-like Carbon in PEDOT:PSS for Enhancing Electrical Conductivity in Thin Film Applications
Jared Patterson Coyle 1 Elizabeth Plowman 1 Adam K. Fontecchio 1
1Drexel University Philadelphia USA
Show AbstractMuch work exists highlighting the percolation of onion-like carbon (OLC) in non-conductive polymer matrices for chemical resistance, enhanced mechanical properties and electromagnetic shielding applications. As with other carbon allotropes, dramatic results at low loadings are widely reported. However, little published work exists examining the effects of these same materials in inherently conductive polymers. This research examines the percolation threshold of un-modified OLC in conductive Poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) thin films for electronic applications where maximum conductivity is of concern. Percolation at ~1% OLC loadings is achieved, with repeatable thin films exhibiting sheet resistances on the order of 1 Ohm/square are reported. Pristine, unmodified OLC is suspended in a water/PEDOT:PSS/organic solvent solution. Multiple organic solvents are investigated in this study. Thermal evaporation and annealing of films in an autoclave was carried out to form transmission lines. Scanning electron microscopy (SEM), SEM energy dispersive spectroscopy (EDS), atomic force microsopy (AFM) and optical profilometry are utilized to examine the resultant film morphology and filler distribution, and to demonstrate sufficient penetration of the carbon onions within the various films. A four-point probe and DC sourcemeter are used to measure surface and bulk DC conductivities. A network analyzer and anechoic chamber are employed to examine film AC response, including real and imaginary values for the transmission lines. The utilization of these materials in RF antenna applications is investigated.
9:00 AM - W17.45
Quinone/Hydroquinone Redox Couple as a Source of Enormous Capacitance of Activated Carbon Electrodes
Mikolaj Meller 1 Krzysztof Fic 1 Grzegorz Lota 1 Elzbieta Frackowiak 1
1Poznan University of Technology Poznan Poland
Show AbstractEnergy storage phenomenon in supercapacitors (applied in high power demanding devices as Hybrid Electric Vehicles, lifts, cranes, planes, etc.) is generally attributed to electrical double layer charging, formed on electrode/electrolyte interface. Carbon materials due to their well developed surface area seem to be most promising materials for supercapacitor electrodes. Unfortunately, capacitance revealed by these materials is still relatively small. On the other hand, if some additional charge originating from faradaic reactions will be provided, the capacitance increases rapidly. This type of additional capacitance, called pseudocapacitance, might be provided by enriching carbon materials in heteroatoms or applying carbon-transition metal oxides composites as electrode materials and assembling supercapacitor in asymmetric system. Another approach with pseudocapacitance originating directly from electrolyte solution based on iodide/iodine redox system was reported by our group. This work is focused on electrochemical behavior of the activated carbon supercapacitor electrodes electrochemically grafted by three different dihydroxybenzenes: hydroquinone, catechol and resorcinol. Electrochemical grafting with these agents diluted separately in sulfuric acid, potassium hydroxide and lithium sulfate strongly changes the carbon surface functionality and affects the capacitance values. The electrodes were functionalized in two electrode (carbon|carbon) cell during 100 cycles in voltage range 0.0 - 1.0 V at 5 mV/s sweep rate. Full electrochemical characterization of grafted activated carbons operating in acidic (1 mol/L H2SO4), alkaline (6 mol/L KOH) and neutral (1 mol/L Li2SO4) medium reveals significantly higher capacitance values, being about 350 F/g for total system with 1,4-dihydroxybenzene grafted electrodes operating in sulfuric acid, whereas for unmodified electrode it was only 130 F/g. This value significantly improves the energy of supercapacitor, even to the level of 12 Wh/kg, which is one of the highest reported values for aqueous medium. Galvanostatic charge/discharge investigation, performed in wide range of current density (0.2 - 20 A/g) proved a good electrochemical behavior of this capacitor. Cyclic voltammetry performed in range of scan rates 1-20 mV/s, reveals faradaic character of capacitance. Electrochemical impedance spectroscopy (100 kHz - 1 mHz) confirmed good charge propagation and small charge transfer resistance. These advantages are followed by very stable cyclability (about 15% of capacitance decay after 5000 charge/discharge cycles), small self-discharge and moderate leakage currents. Electrochemical results are correlated with physicochemical characterization of investigated system.
9:00 AM - W17.46
Detonation Nanodiamond-derived Carbon Onions for Li-ion Battery Applications
Sebastian Osswald 1 Jonathan Cebik 1 Rene Medrano 1
1Naval Postgraduate School Monterey USA
Show AbstractDue to their superior energy and power densities, Li-ion batteries are the state-of-the-art power sources for portable electronic devices and medium-to-large scale applications, such as electrical vehicles and stationary energy storage are on the horizon. From the studies conducted to present day, it is clear that carbon nanostructures hold great promise as potential anode material for a safer and more powerful Li-ion battery technology. However, in contrast to conventional anode materials, such as graphite, neither the SEI layer formation nor the charge storage mechanisms are yet fully understood. The lack of understanding is rooted in the difficulty to provide nanomaterial samples with well defined properties. Here we report on the synthesis and electrochemical testing of detonation nanodiamond-derived carbon onions for Li-ion battery applications. The use of carbon onions produced by thermal annealing of nanodiamond powder allows for unprecedented control over nanomaterial properties, particularly size, shape, and sample composition. Carbon onions are, therefore, the ideal “model” material for a thorough investigation of ion storage phenomena in nanostructures. By controlling both annealing temperature and annealing time, and thus the progression of the nanodiamond-to-carbon onion conversion, we are able to adjust the average number of graphitic shells, the shell curvature, and inter-shell spacing. These experiments led to a series of samples that contain carbon onions with a unique set of structural characteristics, allowing for an independent investigation of the contributions from the various structural parameters, and for the development of a comprehensive model describing the lithium storage mechanism in carbon onions and other carbon-based nanomaterials, particularly carbon nanotubes.
9:00 AM - W17.47
Carbon from Low-temperature Pyrolysis of Oligo-glycerol
Erwin Enriquez 1 Jerick Imbao 1 Arnel Salvador 2 Armando Somintac 2 Christine Marie Montesa 3
1Ateneo de Manila University Quezon City Philippines2University of the Philippines Quezon City Philippines3JEOL ASIA PTE LTD Singapore Singapore
Show AbstractCrude glycerol is produced as a by-product of biodiesel production at about 10% by mass. However, crude glycerol has a myriad of impurities that dramatically reduces its value. Carbonization of glycerol could prove to be beneficial as carbon materials like coke, carbon fibers, activated carbon, and the different allotropes of carbon such as nanotubes and recently, graphene have various applications such as in supercapacitors, catalyst support, and in future electronics devices. In this work, we show for the first time that glycerol can be converted to carbon with high yields in a two-step process—oligomerization of glycerol and subsequent pyrolysis of the condensation product into carbon at temperatures which are generally lower than the decomposition temperatures used in the carbonization of biomasses (< 600 oC). Formation of the polycondensation products were confirmed by NMR and FTIR analysis while thermogravimetry revealed three decomposition temperatures. These were then used to design the pyrolysis protocol. Mass yields of 26.7 %, 10.1 %, 2.88% and 2.78% were obtained from the pyrolysis of the oligomerized products at 350, 400, 500, and 600 oC, respectively. SEM-EDS analysis shows that the pyrolyzed products consisted of mainly (> 70 %) carbon and oxygen, whereas TEM reveals graphitic structures. FTIR analysis showed the expected polar functionalities typical of pyrolytic and activated carbon. The pyrolyzed products were stable up to 800 oC based on TGA. The quality of the carbon products are shown in the Raman spectra where the relative profile of the characteristic D (~1350 cm-1) and G (~1580 cm-1) bands signify graphitic structures. The results show that at 350 oC pyrolysis, carbon can thus already be produced from direct pyrolysis of oligo-glycerol.
9:00 AM - W17.48
3 Dimensional Carbon Nanostructures for Li-ion Battery Anode
Chiwon Kang 1 Indranil Lahiri 1 Rangasamy Baskaran 2 Won-Gi Kim 2 Yang Kook Sun 2 Wonbong Choi 1 3
1Florida International University Miami USA2Hanyang University Seoul Republic of Korea3University of North Texas Denton USA
Show AbstractCarbon nanofibers (CNFs) have been thoroughly investigated as potential anode materials in Li-Ion battery owing to their exceptional properties such as the higher surface area to mass ratio, electrical conductivity and mechanical toughness. However, one of the major limitations of nano carbon materials is lower mass loading density. To address this issue, we have developed a novel anode system composed of CNFs directly grown on 3D Cu mesh current collector (hereafter mentioned as 3D CNFs) using a thermal catalytic chemical vapor deposition (CVD) method. Compared to CNF-based anodes on 2D Cu current collector, active material loading amount of the 3D CNFs was 400% higher. Owing to an increase of the active surface area, 3D CNFs demonstrated enhanced electrochemical performance of Li-Ion battery in terms of charge capacity (50% improvement), rate capability and cycling life. Interfacial contact between the CNFs and Cu could play a crucial role in promoting the electrochemical properties. The intermediate TiC thin layer, formed at high temperature 750°C, could function as an efficient electric conducting pathway and a strong bonding bridge between the CNFs and Cu. Furthermore, amorphous Si (a-Si)/3D CNFs hybrid anode system was synthesized using a sputter deposition method. It was found that the electrochemical properties of the a-Si/3D CNFs were superior to those of the pristine 3D CNFs.
9:00 AM - W17.51
Exfoliation of Natural Flake Graphite via Complex Mixed Solvents
Andrew J Oyer 1 Jan-Michael Carrillo 1 3 Chetan C. Hire 1 Alexandru D. Asandei 1 2 Andrey V. Dobrynin 1 3 Douglas H. Adamson 1 2
1University of Connecticut Storrs USA2University of Connecticut Storrs USA3University of Connecticut Storrs USA
Show AbstractThe exfoliation and suspension of graphene is investigated via a combination of computational and experimental techniques. Molecular Dynamics, (MD) simulations show that an equimolar mixture of Benzene (C6H6) and Hexafluorobenzene (C6F6) has the highest affinity for graphene and forms an ordered periodic layer extending up to 30Å from the sheet surface. Quadrupolar interactions are seen as the driving force of the solvent structuring and result in stacks of alternating C6H6/C6H6 molecules with density oscillations of approximately 3.4Å, which correspond to the van der Waals diameter of carbon. The surface affinity of the solvent is verified using dynamic contact angle measurements. Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), and Transmission Electron Microscopy (TEM) techniques are used to demonstrate the quality of the mixed solvent graphene sheets after sonication in the mixed solvents. At high concentrations the mixture is freeze-dried at room temperature to produce sponge-like graphene structures held together by non-covalent interactions and reflect the structure of the graphene sheets in solution.
9:00 AM - W17.52
A Structure-function Relationship for the Optical Modulation of Phenyl Boronic Acid-grafted, Polyethylene Glycol Complexed to Single Walled Carbon Nanotubes
Bin Mu 1 Michael S Strano 1
1Massachusetts Institute of Technology, MIT Cambridge USA
Show AbstractPhenyl boronic acids are important binding ligands to pendant diols useful for saccharide recognition. The aromatic ring can also function to anchor an otherwise hydrophilic polymer backbone to the surface of hydrophobic graphene or carbon nanotube. In this work, we synthesize a homologous series of seven phenyl boronic acid conjugated poly ethylene glycol 8 membered branched polymers using different phenyl boronic acids. We evaluate the resulting dispersion of single walled carbon nanotubes (SWNTs) in aqueous suspension, and the nIR fluorescence of these polymer-SWNT complexes. FTIR, UV-visible, NMR, and visible fluorescence spectroscopies were applied to characterize these polymers. The fluorescence of SWNTs wrapped by these polymers presents apparent variation in the intensity and the wavelength shift. An examination of the fluorescent excitation-emission profile demonstrated that the varied boronic acid structures have an ability to quench the fluorescence of the different SWNT species to the different level. Finally, the screening results of a library of sugar molecules demonstrated the success of the new design concept toward saccharide biosensor.
9:00 AM - W17.53
Signal Multiplication in Amorphous Selenium Based Photodetector Driven by Diamond Cold Cathode
Tomoaki Masuzawa 1 Shingo Kuniyoshi 2 Masanori Onishi 1 Richika Kato 1 Ichitaro Saito 1 Takatoshi Yamada 3 Tatsuo Shimosawa 4 Ken Okano 1
1ICU Tokyo Japan2Tokyo Institute of Technology Tokyo Japan3AIST Tsukuba Japan4University of Tokyo Tokyo Japan
Show AbstractAmorphous selenium (a-Se) is a suitable photoconductor for imaging devices due to its low dark current and high spatial resolution, as well as general advantage of large-area, uniform film deposited by evaporation. Among various a-Se photodetectors, HARP (high gain avalanche rushing photoconductor) may be an ideal photoconductor for vacuum camera tube, because of its ultra high sensitivity enhanced by an internal signal multiplication. One of few drawbacks of HARP camera tube was that it requires a hot cathode for signal read-out. Although much smaller versions of HARP camera tubes have been developed by using field emitter array (FEA) [1][2], they still suffer from limited resolution and dynamic range. A diamond cold cathode is a promising choice to solve current problems of FEA-HARP. With a negative electron affinity surface and high thermal conductivity, diamond emitters should supply sufficient emission current to provide wide dynamic range. Furthermore, electron beam from diamond cold cathode has small dispersion angle due to its geometrical flexibility, which can prevent a resolution loss at the emitter. In our previous studies, a-Se based photodetector was assembled using nitrogen (N)-doped diamond cold cathode. This prototype detector showed a high sensitivity to both visible light and UV light. The next step is to apply signal multiplication in order to achieve reported high sensitivity [3]. In this study, we attempted to apply signal multiplication to a photodetector driven by diamond cold cathode. By applying a large voltage between anode and cathode, we obtained enough electric field within the a-Se film. Emission current (I)-applied voltage (V) characteristics showed that carrier multiplication in the a-Se film significantly increase the emission current under light illumination. No increment was observed in dark current. It was also found that the signal/noise (S/N) ratio of the detector decreased when the emission current grew to a certain point. The reduction of S/N ratio in high voltage region is due to a limited current supply from the emitter. The cause of current limitation is either large bulk resistance of the diamond, or limited carrier supply in diamond conduction band. Introducing a third electrode will help controlling the surface potential of the cold cathode, which enables signal multiplication for any operation voltage. [1] N. Egami et al., J. Vac. Sci. Technol. B 23, 2056 (2005) [2] Y. Honda et al., J. Vac. Sci. Technol. B 29, 04E104 (2011) [3] K. Tanioka et al., IEEE Electron Device Letters, EDL-8, 9, pp. 392-394 (1987).
9:00 AM - W17.54
Edge-plane-rich Nitrogen-doped Carbon Nanoneedles (CNNs) and Efficient Metal-free Electrocatalysts
Rafael Silva 1 Tewodros Asefa 1
1Rutgers University Piscataway USA
Show AbstractCarbon nanomaterials exhibit some outstanding physical, chemical, and mechanical properties, such as light absorption, mechanical stiffness, and high conductivity, owing to the unique geometric arrangement of the carbon atoms and the extensive conjugated sp2-sp2 linkages and p-orbital electron delocalization in their structures. These structural features also enable carbon nanomaterials to serve as robust electrocatalysts, with most of their electrocatalytic activity associated to edge planes and dopants, such as boron or nitrogen. The edge planes exist only in a small area in carbon nanomaterials, such as at the tips of carbon nanotubes or at defect sites on the basal planes of graphitic nanostructures. A new class of graphitic material, so called carbon nanoneedle, is prepared and demonstrated here [1]. N-doped carbon nanoneedles (CNNs) are synthesized by self-assembling core-shell nanostructures and nanoreactors around cellulose nanocrystals, and subsequent graphitization. The resulting graphitic nanoneedles have well-organized graphitic multi-layers and large proportions of N-doped edge planes. The N-doped carbon nanoneedles showed to be highly efficient as a metal-free electrocatalysts for hydrazine oxidation, that is a very important reaction for liquid fuels cell. Reference: [1] R. Silva, T. Asefa. Edge-Plane-Rich Nitrogen-Doped Carbon Nanoneedles (CNNs) and Efficient Metal-Free Electrocatalysts. Angew. Chem. Int. Ed. 2012, 51, 1 - 6
9:00 AM - W17.55
Carbon Surface Chemistry for Applications in Magnetic Separation: Magnetic Chemistry
Robert N Grass 1 Michael Rossier 1 Martin Zeltner 1 Roland Fuhrer 1 Wendelin J Stark 1
1ETH Zurich Zurich Switzerland
Show AbstractDiazonium chemistry on sp2 carbon surfaces has allowed the formulation of printing inks from carbon black [1], has rendered carbon nanotubes soluble [2] and enabled the electrical modification of graphene [3]. The same chemistry can be applied to the surface of carbon coated nanomagnetic particles, thereby generating magnetic chemicals with complex surface functionalities. [4,5] In this presentation we will show the advantages of carbon based surface chemistry in the field of magnetic materials. These include true C-C bonding, high chemical inertia and optimal surface control. The versatility of the system for the anchoring of heavy metal ligands, homogeneous catalysts, polymers as well as biomolecules will be displayed by discussing illustrative examples from µl to m3 applications. [6-8] The rapid separation of the carbon coated nanomagnets from solution aids rapid experimentation and multi-step chemical synthesis procedures. By analogy, this may aid the development of more complex chemical procedures on the surfaces of solubilized nanotubes.
9:00 AM - W17.56
Atomistic Simulations of Carbon Nanofoams
Christos Mathioudakis 1 Pantelis C. Kelires 1
1Cyprus University of Technology Limassol Cyprus
Show AbstractCarbon nanofoams (CNF) constitute a new form of carbon, which has some unique physical properties, such as ultra-low density, open porous structure and large surface area. This material attracted attention after reports of intrinsic magnetism and for various promising applications, such as in catalysis, energy storage, gas adsorption, tribology, biomedicine and magnetoelectronics. Although, little is known about the CNF microstructure, it is believed that the building blocks are schwarzites. These are composed of graphite-like sheets possessing sevenfold rings and having negative (hyperbolic) Gaussian curvature. Schwarzite fundamental units have low density, nealy half that of graphite. Here, we report results from atomistic simulations that shed light on the microstructure of CNF and predict their electronic and optical properties. We use classical Monte Carlo simulations to generate initial structures, which are subsequently fully relaxed with tight-binding molecular dynamics simulations. We investigate the interlinking of the units, the stability of the overall structure as a function of the density, the elastic moduli, the electronic density of states, and the dielectric function. A central result is that the present CNFs in bulk form, either composed of semiconducting or metallic schwarzite units, and despite their random and fractal network with many kinks and junctions between the units, are conductive, with no localized states near the Fermi level, contrary to other disordered carbons. Another notable result is that the CNF network does not resemble and can not be used as a model of the continuous random network of low-density amorphous carbon. We also find that the CNFs in bulk form, although soft, are rigid and not floppy, so they could be engineered for practical applications.
9:00 AM - W17.57
Carboneous Nano-structures in Acids and Superacids: Oxidation and Charge Transfer Mechanisms
Iann C. Gerber 1 Pascal Puech 2 Tao Hu 1 Franck Jolibois 1 Lionel Perrin 1 Philippe Serp 3 Romuald Poteau 1
1Universitamp;#233; de Toulouse Toulouse France2Universitamp;#233; de Toulouse Toulouse France3Universitamp;#233; de Toulouse Toulouse France
Show AbstractAmong the possible ways to covalently functionalize the hydrophobic and inert surface of carbon nanotubes (CNT), oxidation by nitric acid or HNO3/H2SO4 mixtures is most frequently employed. HNO3/H2SO4 treatment being often more destructive, nitric acid treatment is usually preferred for the controlled formation of functional groups with minimum damage to the CNT structure. Recently, we have investigated in a combined experimental/theoretical work the early steps of oxidative treatment in nitric acid [1]. Thanks to density functional theory (DFT) calculations, we have proposed an efficient pathway leading to carboxylic acid functional groups on the surface. Starting from mono-vacancies, it ends up with the synergistic formation of dangling -COOH groups and the enlargement of the vacancies, as observed experimentally. Noncovalent doping of carbon nanostructures by charge transfer from/to donor/acceptor molecules or superacid like highly concentrated H2SO4, be it with holes or electrons, is usually thought as potentially interesting for many applications of carbon based nano-devices. However, from a theoretical point of view, little is known about such charge transfer processes. Employing DFT calculations, we have studied in details the interaction between a prototypical donor molecule the tetrathiafulvalene (TTF), a standard acceptor organic molecule, tetracyanoethylene (TCNE) and carbon nanostructures: grapheme layer and CNTs with various chiral indices, at several dopant concentrations [2]. Additionally, we have reported the adsorption properties of molecules present in superacid medium. Main results concern structural and thermodynamic aspects including dispersion forces effects, and evidently electronic structure modifications of the carbon nanostructures. Interestingly, we have shown that no charge transfer between pristine walls and H2SO4 molecules happens but only HSO4- molecules can p-dope the carboneous structures [3]. [1] I. Gerber et al, Chem. Eur. J., 17, 11467 (2011). [2] T. Hu et al, submitted to J. Phys Chem C. [3] P. Puech et al, Phys Rev B., 85, 205412 (2012).
9:00 AM - W17.59
Structural and Compositional Characterization of ``Coveticsrdquo; a New Class of Materials Containing High C Concentration
R. A. Isaacs 1 A. Herzing 2 D. R. Forrest 3 A. N. Mansour 3 M. C. LeMieux 4 J. Shugart 5 L. Salamanca-Riba 1
1University of Maryland College Park USA2NIST Gaithersburg USA3Naval Surface Warfare Center West Bethesda USA4Stanford University Stanford USA5Third Millennium Metals, LLC Waverly USA
Show Abstract“Covetics” are a new class of materials formed by the incorporation of high concentrations (> 6 wt%) of nanoscale carbon in a metal matrix. The carbon incorporates into the crystal structure of the host metal (Al, Cu, Ag and other metals) and remains dispersed after subsequent melting and re-solidification. The carbon is highly stable in these materials despite the absence of a predicted solid solution at such concentrations in the binary phase diagrams. This makes e-beam depositing covetic films with similar microstructure to the extruded metal possible. Covetics have been shown to exhibit enhanced electrical, mechanical and thermal properties when compared with non-covetic metals. We have performed energy dispersive X-ray spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), SEM, TEM, high angle annular dark field (HAADF)/STEM/electron energy loss spectroscopy (EELS), atomic force microscopy (AFM), and Raman spectroscopy to investigate the structure of Al, Cu, and Ag covetics. Both bulk samples and thin films are investigated. Carbon was detected in the form of nanoparticles 5 nm - 200 nm in diameter with an interconnecting carbon matrix. The carbon is detectable by EDS and XPS, but not by analytical methods such as LECO and GDMS due to its chemical affinity to the metal. Raman indicates a similar signal to that of CNTs in covetics. A detailed investigation of the morphology of the nanocarbon and the structure of several covetics will be presented. *Supported in part by NSF MRSEC under grant No. DMR 0520471 and ONR Code 332 # N0001410WX20992. We acknowledge support from the University of Maryland Nanocenter and the NISP Lab.
9:00 AM - W17.60
Evaluating Thermal Conductivity Tensor Using Green Kubo Formalism
Anant Raj 1 Jacob Eapen 2
1North Carolina State University Raleigh USA2North Carolina State University Raleigh USA
Show AbstractHeat conduction, in most applications, is generally governed by the Fourier&’s constitutive law. Although the heat flux in any direction, in general, depends on the complete thermal conductivity tensor, the off diagonal terms of the tensor are zero for isotropic materials from symmetry considerations. This symmetry is lost in the case of anisotropic materials such as graphite and graphene which leads to significant non-zero off-diagonal terms. We present alterations to the classical Green-Kubo relationship for thermal conductivity, and use the modified form to evaluate the complete conductivity tensor for graphite/graphene using molecular dynamics simulations with Tersoff bond-order potential for in-plane interactions, and a weak dispersive potential for interlayer interactions. We further verify that the anisotropic Green-Kubo form also satisfies the general tensorial properties.
9:00 AM - W17.61
Probing the Upper Limits of Current Density in One-Dimensional Carbon Interconnects
Albert Daen Liao 1 Christopher M Neumann 1 Eric Pop 1
1University of Illinois at Urbana-Champaign Urbana USA
Show AbstractAs Cu interconnects for integrated circuits are downscaled, their performance and reliability degrade due to boundary scattering and electromigration. Instead, metallic carbon nanotubes (m-CNTs) and graphene nanoribbons (GNRs) have been proposed as potential Cu replacements. Such one-dimensional (1-D) conductors have strong sp2 bonds which limit electromigration and allow current densities over two orders of magnitude higher than Cu. However, an understanding of the fundamental limits of current flow in these 1-D conductors is still lacking. Here we study the upper limits of diffusive current flow in single-wall m-CNTs grown on SiO2/Si substrates and contacted with Ti/Pd electrodes. To select only single-wall CNTs, we carefully characterize their diameter both by atomic force microscopy (AFM) and Raman spectroscopy. We cross-check the “height” measured by AFM with the Raman G-peak splitting and, if available, the radial breathing mode (RBM) to ensure each m-CNT is a small-diameter (1.2 - 2.2 nm) single-walled device. We measure m-CNTs in vacuum to prevent breakdown by oxidation and, surprisingly, find that currents do not typically saturate, unlike previous observations which suggested a maximum current of ~25 mu;A [1]. In fact, at very high fields not previously probed before (>10 V/mu;m) the current continues to increase with a slope ~0.5-1 mu;A/V, allowing m-CNTs to reach currents well in excess of 25 mu;A. Subsequent modeling suggests that while electron-phonon scattering does play a strong role in limiting the maximum current, m-CNTs are cooled sufficiently by the underlying substrate to reach ~30-35 mu;A, which correspond to a current density of ~9 mA/mu;m for diameters of ~1.2 nm. We also compare the peak current density of m-CNTs [2] with GNRs [3] from previous studies performed in air (breakdown at ~600 oC). We find that as the “footprint” (width) between such device and the underlying substrate decreases, heat dissipation becomes more efficient (for a given width), allowing for higher current densities. We also note that m-CNTs do not suffer from edge scattering like GNRs do. Because of their smaller dimensions and lack of edges, m-CNTs can carry larger current densities than GNRs, up to ~16 mA/mu;m for an m-SWNT with a diameter of ~0.7 nm versus ~3 mA/mu;m for a GNR having a width of ~15 nm. Such current densities are the highest possible in any diffusive conductor, to our knowledge. [1] Z. Yao, C. L. Kane, and C. Dekker, Physical Review Letters 84, 2941 (2000). [2] A. Liao et al., Physical Review B 82, 205406 (2010). [3] A. D. Liao et al., Physical Review Letters 106, 256801 (2011).
9:00 AM - W17.63
Ultrasensitive Molecular Sensor Based on Pristine Carbon Nanotubes
Gugang Chen 1 Tereza M. Paronyan 1 Elena M. Pigos 1 Avetik R. Harutyunyan 1
1Honda Research Institute USA Inc. Columbus USA
Show AbstractThe advance of nanotechnology has opened new opportunities to develop ever more sensitive sensors. Single-walled carbon nanotube (SWNT) is one of the most exciting materials in this regard due to its high surface-to-volume ratio and unique electronic structure. Ironically the ultrahigh sensitivity of SWNTs can be easily compromised by contaminations from the environment. Indeed, here we show that despite significant progress has been made in the last decade, we are still nowhere close to what a pristine SWNT based sensor can potentially offer [1]. Under continuous in situ ultraviolet (UV) light illumination, the electrical response of pristine SWNTs becomes extremely sensitive to gaseous molecules such as nitric oxide with a detection limit as low as 590 parts-per-quadrillion at room temperature. Gas sensing on NO2 and NH3 further confirmed that pristine nanotubes could have sensitivities orders of magnitude better than what previously had achieved. In addition, we observed the electrical response to reverse its direction upon NH3 exposure after applying in situ UV light illumination, which clearly explains the origin of ultrasensitivity reported here. Aiming for practical applications, we further illustrate how to address gas selectivity by introducing a gate bias. Because of its simplicity the concept of in situ cleaning can be deployed in existing sensor architectures. [1] Chen, G.; Paronyan, T. M.; Pigos, E. M.; Harutyunyan, A. R., Scientific Reports 2012, 2, 343.
9:00 AM - W17.65
Bio-sourced Nanocarbon as Building Blocks for Elaborating Water-filtration Membranes
Oula El Korhani 1 2 Frederic Gillot 1 Randa Khoury 2 Sophie Cerneaux 1 Marc Cretin 1 Doumit Zaouk 2 Antonio Khoury 2 David Cornu 1
1ENSCM Montpellier France2Lebanese University Jdeidet Lebanon
Show AbstractProviding people worldwide an access to clean and safe water is one of the most motivating scientific and economic challenges of our modern society. Water purification and remediation can be afforded by membrane technologies, including inorganic carbon membranes. It is obvious that the manufacturing of materials for environmental issues must be considered in the framework of green and sustainable chemistry approaches. In this context, different bio-sourced nanocarbons were elaborated starting from natural polymer or by-products of food industry. Spherical carbon nanoparticles were elaborated by hydrothermal conversion of biomass. Colloidal solutions of carbon nanospheres have been used to prepare carbon membranes by several techniques including spin-coating and dip-casting. Some porosity agents have been added in order to adjust the porosity of the membrane and make them available to a wide range of potential applications. Carbon nanofibers were prepared by electrospinning of (bio)polymer followed by a convenient thermal treatment under controlled atmosphere. The electrospun fibers were deposited on convenient ceramic supports. Additives have been added in order to tune the intrinsic features of the fibers like surface roughness and porosity. The performances of the different nanocarbon-based membranes were comparatively evaluated in terms of water flow and molecular weight cut-off. The influence of the nature of the nanobuilding blocks on the properties of the membranes will be discussed. The intrinsic electrical conductive nature of these carbon membranes can also be exploited by using them as electrodes for electrochemical disinfection processes like the electro-Fenton processes. In-situ generation of oxidant species can provide a convenient disinfection process and limit the biofouling of the membrane.
9:00 AM - W17.67
Bottom-up Synthesis of Fluorescent Carbon Dots
Jinrui Guo 1 Kenneth S Suslick 1
1University of Illinois at Urbana-Champaign Urbana USA
Show AbstractRecently, fluorescent carbon dots (CDs), <10 nm diameter, have been discovered and can provide an enticing alternative to semiconductor quantum dots due to their low toxicity. Fluorescent CDs are produced from bulk graphite materials through laser ablation or acid oxidation, followed by surface passivation, but all of these methods are top-down, cumbersome, require multi-step processes with very low yield. Herein we use polymerization to produce carbon nanoparticles from bottom up followed by surface functionalization (oxidation with nitric acid and then passivation with PEG oligomer) to make fluorescent CDs. TEM suggests that the sizes of the oxidized CDs are narrowly distributed with an average diameter of 2.3 nm. These CDs show intrinsic fluorescence and excitation-dependent photoluminescence. After surface functionalization with PEG oligomer the QY can be increased to 12% which is comparable to some quantum dots. These CDs can be easily internalized in cells as bioimaging agents and still show bright photoluminescence after laser irradiation for several hours. Other fluorescence characteristics (e.g., fluorescence lifetime) of these CDs are further studied to understand the fluorescence mechanism.
9:00 AM - W17.68
Aerographite: Direct Interconnected Networks of Thin-walled Graphite - Structure, Formation and Properties of a 200 micro;g/cm3 Carbon Nanomaterial
Matthias Mecklenburg 1 Rainer Adelung 2 Arnim Schuchardt 2 Yogendra Mishra 2 Soeren Kaps 2 Andriy Lotnyk 3 Lorenz Kienle 3 Karl Schulte 1
1Hamburg University of Technology Hamburg Germany2University of Kiel Kiel Germany3University of Kiel Kiel Germany
Show AbstractAerographite is a novel hierarchical carbon nanomaterial formed by a 3d-network of directly interconnected thin-walled graphite layers. With a density as low as 200 µg/cm3 Aerographite is the most lightweight structure synthesized up to now. It can already be produced in monolithic, highly-porous bodies of cubic centimeters. Utilizing a completely new CVD approach, the Aerographite network can be directly tuned in morphology, wall thickness and also carbon species. Despite of the ultra-low density, Aerographite&’s unique morphology enables a high light absorption, electrical conductivity and an extraordinary high mechanical robustness and flexibility. It is even possible to compress a piece of Aerographite by more than 90%, while it maintains its shape during the subsequent expansion. In contrast to other Aerogels it can even bear significant tensile loads. Actually its specific modulus in a free standing beam configuration (E/ρ-3) is with 2.572 *106m8kg-2s-2 at least 1000 times higher compared to all other materials. Today's and future energy key-technologies, like batteries or supercapacitors call for a mass producible, lightweight, flexible and electrically conductive material, which is robust under tension and compression, but highly accessible for electrons and ions. A novel CVD process was developed. The essential structural feature of direct interconnections of thin-layered graphite, leads to the use of geometrically defined templates. The first time we show that networks of micrometer-sized ZnO tetrapod-like particles can be used as substrate for deposition of thin-layered graphite. The well-known high adjustable geometry of ZnO particles and the benefit from sintering bridges are key features of resulting graphite networks. In contrast to the use of metal substrates for the growth of graphene or thin-walled graphite, the developed Aerographite CVD-process enables a simultaneous removal of all template material, resulting in a single-step CVD-process, which can potentially be up-scaled to large volumes. By carefully controlling the balance of hydrogen, promoted to in-situ reduction on ZnO to Zn and simultaneous carbon precursor injection rates, several Aerographite variations can be synthesized. Aerographite will be introduced based on intensive TEM, SEAD, EELS, XRD Raman spectroscopy. The structural features of Aerographite will be discussed in detail, together with the resulting mechanical and electrical properties. Additionally, we give insight into intermediate reaction states by TEM and SEM observations to discuss its growth and formation. In order to demonstrate practical application, first measurements on Aerographite based double layer capacitors will be presented. Additionally, various applications in fields of electromagnetic absorption, sensors- and bio-technology and polymer composites are motivated.
9:00 AM - W17.69
Pulsed Electron Beam Deposition of Nanocrystalline Diamond
Redhouane Henda 1 Omar Alshekhli 1
1Laurentian University Sudbury Canada
Show AbstractPulsed electron beam ablation (15 keV, 1 kA, 100 ns) has been used to grow thin films of nanocrystalline diamond on different inorganic substrates. The films have been grown at room temperature and 150°C, and under a background gas (argon) pressure of about 5 mTorr. The thickness of the films ranges between 50 nm and 100 nm as per visible reflectance spectroscopic analysis. Visible-Raman spectroscopic measurements confirm the presence of sp3 bonds with substantial content in the deposited films as per information from the 'G' and 'D' peaks of carbon.
9:00 AM - W17.70
Highly Efficient Titanium Nitride (TiN) Nanoparticles Supported on CNT and Graphene Composite as a Counter Electrode for Quantum Dot-sensitized Solar Cells
Duck Hyun Youn 1 Minsu Seol 1 Jae Young Kim 1 Ji-Wook Jang 1 Kijung Yong 1 Jae Sung Lee 1
1POSTECH Pohang Republic of Korea
Show AbstractMuch attention has been paid to quantum dot-sensitized solar cells (QDSSCs) as a promising alternative to conventional silicon-based solar cell technologies. In spite of various advantages of QDSSCs, their power conversion efficiencies are still very low (< 4%) compared to dye-sensitized solar cells (~11%). One of important reasons is poor redox activity of counter electrode (CE) for sulfide/ polysulfide redox couple. Thus, development of efficient noble metal-free CE materials is essential to make QDSSCs commercially viable. Here, we report a facile synthetic method of TiN nanoparticles (TiN NPs) with various carbonaceous supports by modification of urea glass route [1]. Their physicochemical properties were revealed by XRD, AFM, SEM, and TEM. Also, electrochemical properties were investigated using J-V curve and EIS. Our novel TiN supported on CNT and graphene composite (TiN/CNT+GR) CE combined with ZnO nanowire (NW)/ CdS/ CdSe photoelectrode exhibited greatly enhanced power conversion efficiency of 4.13 % compared to 3.35 % of typical Au CE, which was ascribed to synergistic effect between TiN NPs and CNT+GR composite whose roles were active site for reduction and eletron pathways to NPs, respectively. Besides, TiN/CNT+GR exhibited extremely high surface roughness due to formation of 3-D CNT+GR structure. Thus, our TiN/CNT+GR could be a promising electrocatalysts for CE of QDSSCs with high efficiency and low-cost. [1] C. Giordano, C. Erpen, W. Yao, and M. Antonietti, Nano Lett. 8 (2008) 4659.
9:00 AM - W17.71
Diffusion Mechanism of Lithium Ion through Basal Plane of Layered Graphene
Fei Yao 1 4 Fethullah Gunes 1 Huy Quang Ta 1 Seung Mi Lee 2 Seung Jin Chae 1 Kyeu Yoon Sheem 3 Costel Sorin Cojocaru 4 Si Shen Xie 1 Young Hee Lee 1
1Sungkyunkwan University, Korea Suwon Republic of Korea2Center for Nanocharacterization, Korea Research Institute of Standards and Science Daejeon Republic of Korea3Samsung SDI Corporate Ramp;D Center Yongin-si Republic of Korea4LPICM CNRS, Ecole polytechnique Paris,Palaiseau France
Show AbstractCoexistence of both edge plane and basal plane in graphite often hinders the understanding of lithium ion diffusion mechanism. In this report, two types of graphene samples were prepared by chemical vapor deposition (CVD): (i) well-defined basal plane graphene grown on Cu foil and (ii) edge plane-enriched graphene layers grown on Ni film. Electrochemical performance of the graphene electrode can be split into two regimes depending on the number of graphene layers: (i) the corrosion-dominant regime and (ii) the lithiation-dominant regime. Li ion diffusion perpendicular to the basal plane of graphene is facilitated by defects, whereas diffusion parallel to the plane is limited by the steric hindrance that originates from aggregated Li ions adsorbed on the abundant defect sites. The critical layer thickness (lc) to effectively prohibit substrate reaction using CVD-grown graphene layers was predicted to be sim;6 layers, independent of defect population. Our density functional theory calculations demonstrate that divacancies and higher order defects have reasonable diffusion barrier heights allowing lithium diffusion through the basal plane but neither monovacancies nor Stone-Wales defect.
9:00 AM - W17.72
Crystallographically Aligned Grown of Nanostructures on Few-layer Hexagonal Boron Nitride Films
Camilla K. Oliveira 1 Matheus Matos 1 Braulio Archanjo 3 Ronaldo Batista 2 Mario Mazzoni 1 Helio Chacham 1 Bernardo Neves 1
1UFMG Belo Horizonte Brazil2UFOP Ouro Preto Brazil3Inmetro Duque de Caxias Brazil
Show AbstractWe present a deposition process of crystallographically oriented quasi-1D nanostructures over hexagonal boron nitride layers. These structures are formed when samples of hexagonal boron nitride, containing metal nanoparticles, are submitted to chemical vapor deposition process with a hydrogen flow only (without any feedstock gas). The growth of such quasi-1D structure, which, therefore, are basically composed by boron and nitrogen, is independent on the thickness of few-layer h-BN and is reproducible with different types of nanoparticles such as nickel, platinum and silver. These structures were characterized by Atomic Force Microscopy and have thicknesses between 3 and 6 nm and run along straight lines for several microns, intermittently deflecting from their path. These deflections show a surprising regularity, maintaining angles of 120°, predominantly, and producing intricate patterns. Some of these trajectory deflections seem to occur spontaneously, without interactions with other structures, while others result from the intersection of two (or more) nanostructures. These intersections can trap these structures between two parallel lines, resulting in crystallographic back and forth zigzag geometries. In addition to the deposition of such nanostructures, we demonstrate a method by which h-BN samples can be etched along crystallographic axes by thermally activated nickel nanoparticles.
9:00 AM - W17.73
Dynamic Negative Compressibility of Few-layer Graphene, h-BN, and MoS2
Ana Paula Moreira Barboza 1 Helio Chacham 1 Camillla K Oliveira 1 Thales F. D. Fernandes 1 Erlon H Martins Ferreira 2 Braulio S Archanjo 2 Ronaldo J. C. Batista 3 Alan B de Oliveira 3 Bernardo R. A. Neves 1
1UFMG Belo Horizonte Brazil2Inmetro Rio de Janeiro Brazil3UFOP Ouro Preto Brazil
Show AbstractWe report a novel mechanical response of few-layer graphene, h-BN, and MoS2 to the simultaneous compression and shear by an atomic force microscope (AFM) tip. The response is characterized by the vertical expansion of these two-dimensional (2D) layered materials upon compression. Such effect is proportional to the applied load, leading to vertical strain values (opposite to the applied force) of up to 150%. The effect is null in the absence of shear, increases with tip velocity, and is anisotropic. It also has similar magnitudes in these solid lubricant materials (few-layer graphene, h-BN, and MoS2), but it is absent in single-layer graphene and in few-layer mica and bismuth selenide. We propose a physical mechanism for the effect where the combined compressive and shear stresses from the tip induce dynamical wrinkling on the upper material layers, leading to the observed flake thickening. The new effect (and, therefore, the proposed wrinkling) is reversible in the three materials where it is observed [1]. Reference [1] A. P. M. Barboza, H. Chacham, C. K. Oliveira, T. F. D. Fernandes, E. H. Martins Ferreira, B. S. Archanjo, R. J. C. Batista, A. B. de Oliveira and B. R. A. Neves, Nano Lett. 12, 2313minus;2317 (2012).
9:00 AM - W17.75
Effects of Interface Engineering on the Mechanical Properties of Multiwalled CNT-ceramic Composites
Aaron J Kessman 1 Sugeetha Vasudevan 1 Matthew Lim 1 Jiangnan Zhang 2 Jun Lou 2 Brian W Sheldon 1
1Brown University Providence USA2Rice University Houston USA
Show AbstractThe mechanical properties of ceramics may be improved by the addition of carbon nanotubes to form a composite structure. While the Young&’s modulus and hardness of these composites can be varied compared to the neat matrix, the most important contribution of the CNTs is their ability to improve toughness of inherently brittle ceramics. Crack deflection is a toughening mechanism inherent in traditional fiber-reinforced composites. However, multiwalled CNTs offer a unique ability to absorb fracture energy through telescopic failure. The relative contribution to fracture toughening from each of these mechanisms is unclear, and is highly dependent on the relative sliding resistances of the external CNT-matrix interface and the internal CNT wall-wall interfaces. The goal of our study is to determine how the various external and internal interfaces in multiwalled CNT composites affect toughening mechanisms in ceramic composites. To this end, we have fabricated various types of composites in different orientations and with different interfacial characteristics and examined their effect on composite properties. The several types of bulk composites fabricated here include vertically aligned multiwalled CNT arrays (VACNTs) infiltrated by a vapor phase process with silicon nitride, VACNTs infiltrated with solution phase polymer derived ceramic (PDC) precursors, and dispersed isotropic multiwalled CNT films which were also PDC infiltrated. Some of the CNTs for PDC infiltration were functionalized using O2 and CF4 plasmas to investigate the effects of CNT surface modification on composite properties. Other CNTs were graphitized at high temperature to effect a change in internal CNT defect chemistry. All PDC composites were pyrolyzed to silicon oxycarbide following liquid infiltration. The mechanical properties of these bulk materials were analyzed using standard nanoindentation and a modified microindentation method for analysis of CNT pullout in the composite films [1]. In conjunction with experiments on bulk composites, microscale single CNT-PDC composite pullout experiments were performed with an in situ SEM tensile stage [2]. Experimental results show how Young&’s modulus and nanoindentation hardness vary with respect to the orientation of CNT axis on VACNT composites. We show further how both external CNT surface functionalization and internal CNT defects affect pullout in the various CNT composites. The results of this study indicate that engineering external and internal interfaces of multiwalled CNTs is critical in determining the resulting mechanical properties of CNT-ceramic composites. [1] Z. Xia, W.A Curtin, B.W Sheldon, Acta Materiala 52 (2004) 3507-3517. [2] Y. Ganesan, Y. Lu, C.Peng, H. Lu, R. Ballarini, J. Lou, Journal of Microelectromechanical Systems 19 (2010) 675-682.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
9:15 AM - W11.02
Fabrication of Flexible Graphene Field-effect Transistors with Single-walled Carbon Nanotube Electrodes
Sungjin Kim 1 Shinya Aikawa 1 Pei Zhao 1 Bo Hou 1 Erik Einarsson 1 2 Shohei Chiashi 1 Shigeo Maruyama 1
1The University of Tokyo Tokyo Japan2The University of Tokyo Tokyo Japan
Show AbstractGraphene field-effect transistors (FETs) have attracted intensive attentions in recent years due to the ultrahigh carrier mobilities for both electrons and holes. However, the usage of metal electrodes in these FETs restricts the applications of graphene into flexible devices. In our previous study single-walled carbon nanotubes (SWNTs) have proven to be an effective substitute of metal electrodes with high flexibility because of their extraordinary electrical and mechanical properties. Here we present the fabrication of flexible FETs using graphene as the channel material and SWNTs as the source, drain, and gate electrodes. Different from other graphene/SWNTs hybrid FETs, the graphene channel and SWNT source/drain electrodes in our devices were synthesized simultaneously via a one-step alcohol catalytic chemical vapor deposition (ACCVD) process, based on selective patterning of Co catalysts on Ni substrates and an optimized CVD condition for both graphene and SWNTs. This one-step synthesis of the source/channel/drain materials avoids the post-CVD transfer of SWNT electrodes onto graphene, therefore enhances the natural contacts between the FET components. A simple dry peeling-off by insulating polymer poly(vinyl alcohol) (PVA) avoids the pollution to the device during the metal-etching process. After equipped with an SWNT back gate, the resultant device shows a high transparency and flexibility, as well as a thickness of only a few micrometers. Characterizations of the device by scanning electron microscopy (SEM) and Raman spectroscopy confirm the high quality of the graphene channel and SWNT electrodes, and electrical measurements shows that the fabricated device has good device performance, such as carrier mobilities. Other key issues related with our FETs will also be discussed, such as the source/channel/drain contacts, tuning of their ambipolar characteristics, and possible applications. We believe that the successful fabrication of our all-carbon FETs will provide new insights into the exploration of device flexibility and transparency.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
9:15 AM - W12.02
Broadband, Fast, and Polarization-sensitive Photodetector Based on Dense, Macroscopically-long and Aligned Carbon Nanotubes
Sebastien Nanot 1 Aron W Cummings 2 Cary L Pint 3 Akira Ikeuchi 4 Takafumi Akiho 4 Kazuhisa Sueoka 4 Robert H Hauge 5 Junichiro Kono 1 6 Francois Leonard 2
1Rice University Houston USA2Sandia National Laboratories Livermore USA3Vanderbilt University Nashville USA4Hokkaido University Sapporo Japan5Rice University Houston USA6Rice University Houston USA
Show AbstractWe report on the fabrication and optoelectronic characterization of a photodetector based on dense, aligned, and macroscopically long single-wall carbon nanotubes. The photodetector exhibits broadband response from the visible to the mid-infrared under global illumination, with a response time less than 32 mu;s. Scanning photocurrent microscopy indicates that the signal originates at the contact edges, with an amplitude and width that can be tailored by choosing different contact metals. A new theoretical model demonstrates the photothermoelectric origin of the photoresponse due to gradients in the nanotube Seebeck coefficient near the contacts. The experimental and theoretical results open a new path for the exploitation of thermal effects for energy conversion.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
9:15 AM - W13.02/WW7.02
Noncovalent Stabilization of Pristine Carbon Nanotube Dispersions Using Polyvinylferrocene
Xianwen Mao 1 Gregory C Rutledge 1 Alan Hatton 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractEffective stabilization and dispersion of carbon nanotubes (CNTs) in solvents and polymer matrices remains a major challenge for both fundamental research and practical applications. The common strategies to disperse CNTs fall into two general categories: chemical functionalization and noncovalent surface modification. Chemical treatment inevitably involves disruption of the long range π conjugation of the nanotube, leading to partial loss of electronic properties and mechanical strength. Therefore the noncovalent approach is considered advantageous in that it maintains the sp2-conjugated structures of CNTs and therefore preserves their intrinsic properties and performances. We report a new chemistry for CNT dispersion, using nonconjugated organometallic polymers like polyvinylferrocene (PVF). PVF contains none of the previously reported chemical structures (such as pyrene, porphyrins, nucleotide bases, and conjugated polymeric structures) that are known to have strong noncovalent interactions with CNTs. The aim of the present work is threefold: (1) to evaluate the efficiency of using PVF to stabilize and disperse pristine SWCNTs and MWCNTs, (2) to provide evidence of a strong noncovalent interaction between the nonconjugated organometallic polymer and the carbon nanotubes, and (3) to investigate the quality of dispersion of CNTs by PVF in polymer matrices. This work has clear technological implications in the field of CNT dispersion because the ferrocene moiety can be easily introduced to a wide range of surfactants and polymers, enabling the development of new types of CNT dispersants based on noncovalent interactions without using any conjugated components. This chemistry offers new opportunities to extend applications of pristine carbon nanotubes in a greater variety of media.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
9:30 AM - *W11.03
Graphene for Flexible and Stretchable Electronics
Jong-Hyun Ahn 1 2
1Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea
Show AbstractGraphene, the thinnest elastic material, has extraordinary electronic and thermal properties that make it a promising host for device applications. In particular, graphene has an extremely good mechanical property, offering a great opportunity to transparent electrodes and active channel for flexible electronics that should maintain a stable operation under a high strain. The recent advances in large-scale synthesis of graphene films by chemical vapor deposition are expected to enable various macroscopic applications such as transparent conducting films useful for flexible electronics. In this talk, I will discuss recent progress in graphene film preparation and its various applications in the field of flexible and stretchable electronics, focusing on techniques to integrate them into devices on compliant substrates. Although significant engineering challenges including band gap opening and improved reliability still exist, it could create interesting opportunities for developing future electronic applications because many basic aspects of technically feasible approaches are now emerging.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
9:30 AM - W12.03
Size-tunable Synthesis of Highly Luminescent Carbon Quantum Dots in Reverse Micelles and Their Optoelectronic Properties
Woosung Kwon 1 Shi-Woo Rhee 1
1POSTECH Pohang Republic of Korea
Show AbstractFluorescent carbon quantum dots (CQDs) offer strong potential as viable alternatives to inorganic quantum dots due to low cost, biocompatibility, long-term stability, and reasonable photoluminescence. Typically, CQDs are composed of nanometer-sized sp2 hybridized graphitic cores and oxygenic surface functional groups. So far, CQDs have been synthesized via various methods such as arc discharge, laser ablation, electrochemical oxidation, thermal oxidation, silica-supported oxidation, microwave pyrolysis, hydrothermal pyrolysis, and unzipping photonic crystals. However, several synthetic difficulties such as water-based reaction, broad size distribution, and process complexity impose limitations on control of optical and electrical properties of CQDs. In this research, for the first time, highly luminescent CQDs have been synthesized via facile one-step carbonization of glucose equivmolarly distributed in water-in-oil reverse micelles. These micelles are microemulsions of water encapsulated by surfactant molecules in an immiscible oil such as hydrocarbon, and can serve as size-tunable microreactors for synthesis of nano-sized materials. Thus, this micelle-assisted method can assure size tunability and narrow size distribution of CQDs without any unpractical size separation process. Furthermore, high product yield and large scale production are simultaneously realized because undesirable aggregation between reactants is discouraged by the micelles. The as-prepared CQDs exhibit size-dependent optical properties and high quantum yields (maximum 35 % at the excitation wavelength of 360 nm). Finally, we have fabricated CQD-based field-effect transistors to demonstrate the optical and electrical properties of CQDs. These results would expedite their practical applications in optoelectronic devices.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
9:30 AM - *W13.03/WW7.03
Dispersion, Separation and Functionalisation of Carbon Nanomaterials and Their Use in Solution-processed Electronic and Composite Applications
Mary B Chan-Park 1
1Nanyang Technological University Singapore Singapore
Show AbstractSemiconducting Single-walled carbon nanotubes (s-SWNTs) are thought to be an ideal candidate for the next generation printable semiconductor. s-SWNTs have been shown to have field-effect mobility which is much than those of other contenders such as polymers and they are also thermally and mechanically stable and robust. The mobility of semiconducting nanotubes has been calculated to be extremely high and hence SWNTs are thought to be promising candidates for the active material in printable transistors [1]. However, in most as-synthesized single-walled carbon nanotube (SWNT) samples, about one-third of the nanotubes have metallic electronic properties and two-thirds are semiconducting. The metallic species short the circuits and are undesired for applications in transistor and associated electronic devices. Dispersion and separation of s-SWNTs are key issues in the application of nanotubes in electronic applications. We have demonstrated that polymers can effectively debundle and disperse SWNTs. There are two main categories of polymers used for dispersion and separation of SWNTs and they are the polyaromatics [2-5] and the polysaccharides [6-9]. Our group has pioneered the application of polysaccharides in the effective dispersion and separation of SWNTs. We have investigated chitosan, various neutral pH water-soluble chitosan derivatives and heparin sodium salt as dispersants of SWNTs. Chitosan (CS) can disperse SWNTs well, but only in acidic pH condition. Our two novel derivatives, O-carboxymethylchitosan (OC) and OC modified by poly(ethylene glycol) at the -COOH position (OPEG), were able to produce highly effective debundling and dispersion of SWNTs in neutral pH aqueous solution. We have also shown the chondroitin sulfate A isomer (CSA) is a highly effective and removable dispersant of Single-Walled Carbon Nanotubes. We report our gel electrophoresis method based on the CSA selective polymer which produces high purity (95%) semiconducting SWNTs with high yield (25%). Semiconducting nanotubes purified with this method were successfully employed in the fabrication of high performance network-based field effect transistors.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
9:45 AM - *W12.04
Optical Absorbers Derived from Carbon Nanostructures
Anupama Kaul 1 James Coles 1 Krikor Megerian 1 Prabhakar Bandaru 2
1Jet Propulsion Labs Pasadena USA2University of California, San Diego San Diego USA
Show AbstractNovel properties often emerge in low-dimensionality materials at the nanoscale which, in many instances, can be exploited to enhance the performance of devices and components for a wide variety of electronic and optical applications. , In particular, the ability of nanomaterials to trap light effectively has important implications for their use in energy harnessing, optical blacks for radiometry, as well as detectors. For example, surface plasmon modes in 50-100 nm diameter spherical, metallic nanoparticles on amorphous Si, scatter light more effectively by coupling to incident electro-magnetic radiation, and increase the optical conversion efficiency of solar cells. Here, we report on another type of nanomaterial which is exceptional at trapping incoming light as a result of its unique physical structure, a structure comprised of porous arrays of thin (8-15 nm diameter) vertically oriented multi-walled carbon nanotubes (MWCNTs). Such absorbers have promise in energy harnessing, high sensitivity thermal detectors, and in serving as a reference for quantifying absolute optical power in optoelectronics, as well as for radiative cooling, thermography, and antireflection coatings. We have characterized the absorption efficiencies of vertically aligned MWCNT arrays synthesized using a plasma-enhanced (PE)- chemical vapor deposition (CVD) process in the 350 nm -7000 nm wavelength range into the infra-red (IR), with CNT site densities > 1 x 1011 /cm2 directly on metallic substrates. While high-density arrays of CNTs on electrically insulating and nonmetallic substrates have been commonly reported,3,4, , , achieving high site-densities on metals has been challenging. Our ultra-thin absorbers exhibit a reflectance as low as ~ 0.02 % (100 X lower than the benchmark) which has the potential to increase sensitivity and speed of thermal detectors in focal plane arrays. This work increases the portfolio of materials that can be integrated with such absorbers due to the potential for reduced synthesis temperatures arising from a plasma process. A phenomenological model enabled us to determine the extinction coefficients in these nanostructures and we have also demonstrated their remarkable immunity to high temperatures, a feature potentially useful for solar-cell applications.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
10:00 AM - W11.04
Graphene as an Anti-permeation and Protective Layer for Indium-free Transparent Electrodes
Tonglai Chen 1 Dhriti Ghosh 1 Valerio Pruneri 1 2
1ICFO Barcelona Spain2ICREA Barcelona Spain
Show AbstractWe show that graphene can be used as a protective layer for transparent electrodes made of materials which would otherwise deteriorate when exposed to the environment. In particular, we investigate aluminum-doped zinc oxides and ultrathin copper films capped with one-atom graphene layer in damp heat (95% relative humidity and 95 deg.) and high temperature (up to 180 deg.) conditions. The results clearly indicate that a graphene layer can strongly reduce degradation in electrical, optical properties and surface morphology, thus preserving the functionality of the transparent electrodes. The proposed technique is particularly suitable for flexible optoelectronic devices thanks to the mechanical strength of graphene when subjected to bending.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
10:00 AM - W13.04/WW7.04
Water Soluble Stilbene Derivatives Act as Novel Dispersants for Single Walled Carbon Nanotubes: Tuning of Dispersibility by Photoirradiation
Yoko Matsuzawa 1 Satoko Ishibe 1 Hideyuki Kihara 1 Haruhisa Kato 1 Hiromichi Kataura 1 Masaru Yoshida 1
1National Institute of AIST Tsukuba Japan
Show AbstractSolubilization of the single-walled carbon nanotubes (SWCNTs) is important for fundamental studies and industrial applications of SWCNTs. Especially, precise tuning of the dispersibility of the SWCNTs is necessary in many applications including switching devices, sensors, and drug delivery systems. Herein, we describe water-soluble stilbene derivatives having several numbers of aryl groups that act as excellent dispersants. Moreover, one of them showed photochemically tunable dispersibility for SWCNTs, based on photocyclization of a stilbene unit in an aqueous solution. The nearly coplanar structure of the stilbene dispersant should be important to interact with surface of the SWCNTs via π-π interactions, resulting in a stable dispersion of the SWCNTs with individual debundling confirmed by several spectroscopic techniques. Photoinduced cyclization of the dispersant triggered re-precipitation of the SWCNTs due to detachment of the dispersant from SWCNTs surfaces. It is expected that the photochemical dispersibility control of the SWCNTs produces an advantage for creating novel smart stimuli-responsive systems, such as purification, separation, and CNT composites.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
10:15 AM - W11.05
Graphene and CNT Interconnects for 3D Devices
Tadashi Sakai 1 Yuichi Yamazaki 1 Masayuki Katagiri 1 Hisao Miyazaki 1 Mariko Suzuki 1 Masayuki Kitamura 1 Makoto Wada 1 Tatsuro Saito 1 Daisuke Nishide 1 Takashi Matsumoto 1 Ban Ito 1 Masahito Watanabe 1 Atsunobu Isobayashi 1 Masako Sakata 1 Naoshi Sakuma 1 Akihiro Kajita 1
1LEAP Tsukuba Japan
Show AbstractGraphene and CNT interconnects have been proposed for 3D devices. Recently, conventional metal interconnects are suffering from steep rise of resistivity in accordance with shrink of their sizes. On the other hand, graphene nanowire is expected to have lower resistance compared to metals less than 10nm width [1] which is required for future interconnects [2]. Further, CNT is suitable for filling high aspect ratio (AR) via that is a key for 3D device structure [3]. In this paper, potential and technical challenges of these interconnects are discussed. Then, the fabrication of graphene and CNT structures based on low temperature (~600°C) CVD combined with 300mm BEOL (back end of line) integration processes is described. As for high AR vias, multi-wall CNTs have been successfully grown from the bottom of contact holes with an AR as high as 10 and a diameter of 100 nm by adopting the multi-step plasma treatments [4]. From the development of the fabrication processes, it was confirmed that the graphene and CNT are promising candidates for interconnects of the 3D devices. This work was performed as part of the Ultra-Low Voltage Device Project supported by NEDO and METI of Japan. A part of this work was processed at Tsukuba Innovation Arena (TIA) Super Clean Room supported by the ICAN / AIST. [1] A. Naeemi and J. D. Meindl, IEEE EDL, 28 (2007) 428. [2] ITRS 2011, Interconnect chapter, Table INTC3. [3] H. Tanaka, et al., TOSHIBA Review, 63 (2008) 28. [4] Y. Yamazaki, et al., Appl. Phys. Express, 3, 055002 (2010).
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
10:15 AM - W12.05
Carbon Nanotube and Graphene Based Nanomaterials with Segregated Microstructure as Photonic Band Gap Materials
Izabela Jurewicz 1 Ronan Smith 2 Joe Keddie 1 Jonathon Coleman 2 Alan Dalton 1
1University of Surrey Guildford United Kingdom2Trinity College Dublin Dublin Ireland
Show AbstractA novel method for tailoring the properties of nanocomposites by controlling the way in which nanomaterials are ordered, using colloidally derived, polymer latex crystals is described. This simple colloidal deposition process facilitates the formation of highly ordered multi-arrays of polymer particles, which act as a template for the assembly of carbon nanotubes and graphene into three-dimensional hexagonal patterns and thus creates the possibility to overcome problems with filler distribution. The individual particles deform into rhombic dodecahedra, which is mainly driven by capillary forces as the system dries. Nanotubes and graphene are assembled and positioned at interstitial sites between the polymer particles resulting in a honeycomb-like arrangement. The use of this facile and elegant technology allows for the formation of robust mechanical composites with electrical percolations markedly lower than witnessed in more conventional polymer composites. Moreover, using two different particle sizes it is possible to imitate the Apollonian packing of hard spheres and so minimise the void spaces between the particles. By reducing the volume that is available to a conductive filler, less filler is required to create a continuous network and so achieve percolation. The physical properties of these composites can be tuned by varying controllable parameters such as polymer glass transition temperature, particle size and crystal assembly method. We also show that initial exploration of the optical properties of such arrays. We also show that the microstructure can be manipulated to form photonic crystals which utilises the unique properties of nanotubes and graphene. Possible applications are discussed.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
10:15 AM - W13.05/WW7.05
Large Scale SWNTs Assembly on Plastic Substrate Using Template Guided Fluidic Assembly Process
Jungho Seo 1 Hancul Cho 1 Jun Huang 1 Sivasubramanian Somu 1 Ahmed Busnaina 1
1Northeastern University Boston USA
Show AbstractDue to their nanoscale dimensions and outstanding electrical and mechanical properties single walled carbon nanotubes (SWNTs) devices are of intense research especially in the rapidly emerging field of flexible electronics. Here, we demonstrate integration of highly ordered SWNTs on a lithographically patterned flexible Polyethylene naphthalate (PEN) films employing fluidic assembly with excellent electrical characteristics. PEN plastic films feature low coefficient of thermal expansion, high resistance to most solvents, and heat stabilized material so that it is compatible with conventional CMOS process. Optical lithography is employed to generate these micron scale patterns on the PEN substrate. Various factors influencing the assembly yield such as humidity and template surface energy are also studied. A controllable drying process has been developed to increase the yield of highly dense SWNT assembly over large arrays. Oxygen plasma treatment process was used to clean organic residues off substrates and to hydroxylate the surfaces making them hydrophilic. The exposed hydrophilic surface in the PEN template has hydroxide chemical groups enabling anchoring of SWNTs. Subsequently, metal electrodes were fabricated onto the assembled SWNTs and electrical characterization were carried out. These highly aligned SWCNTs exhibited resistivity of the order of 10^4 ohm-cm suitable for a variety of applications including solar cells and EMI shielding.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
10:30 AM - W12.06
Carbon Nanotube Photon Filter for Space-based Particle Detectors
Stergios J. Papadakis 1 David Deglau 1 Andrew H. Monica 1 G. Bruce Andrews 1 Donald G. Mitchell 1
1Johns Hopkins University - APL Laurel USA
Show AbstractProbing energetic particles (EPs) in space is a very useful tool for studying the physics of plasmas. Studying such particles may be done in-situ in the case of the earth&’s magnetosphere, where spacecraft can enter the plasma region, or remotely when the plasma of interest is too far away or in too harsh an environment (such as near the Sun) to send a spacecraft. For in-situ measurements energetic ions and electrons are detected, and for remote plasmas energetic neutral atoms (ENA) are detected. ENAs travel in a straight line unaffected by solar system electric or magnetic fields, and thus are useful for imaging and spectroscopically interrogating remote space plasmas. Solid state p/n junction detectors are excellent for particles in the 10s to 100s of keV range, but they are also sensitive to light. The challenge is that the photon flux in most regions of the solar system is orders of magnitude greater than the EP flux. The photon wavelengths of concern range from the band gap of Si to about 30 nm. The current approach for blocking the photons is an ultra-thin foil of carbon or metal. The thickness of the foil is the minimum required to block the expected photon flux for the application of interest. Our goal is to improve the performance of solid-state EP detectors by replacing the thin foil with a low-density forest of carbon nanotubes (CNTs). The threshold energy for EP penetration is related to the mass per unit area of the photon filter. The optical density, on the other hand, is strongly affected by the photon filter structure at length scales comparable to the photon wavelength. The CNT mat is an excellent optical absorber at the wavelengths of interest. Thus, for a given optical density CNTs allow a photon filter with significantly less mass per unit area than a conventional foil. We describe in detail the growth parameters that lead to partially-aligned low-density CNT forests which can be easily removed from the growth substrate and suspended over the detector, without losing their shape or low-density nature. We describe a post-growth all-gas technique for removing the iron catalyst particles that does not affect the forest morphology. If left in the CNT forest, the catalyst particles would contribute a significant mass per unit area. We also describe post-growth processing techniques to reduce the shallow-angle reflectance of the CNT surface by creating micron-scale roughness at the top of the forest. Finally, we report both the optical and EP transmission properties of the CNT mat, the ratio of which is the relevant performance metric for the photon filter.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
11:00 AM - *W11.06
Carbon Nanoelectronics: Towards Energy-efficient Computing?
Eric Pop 1
1University of Illinois Urbana-Champaign Urbana USA
Show AbstractPower consumption is a significant challenge in electronics from mobile devices to large data centers [1]. A fundamental examination of energy dissipation in such contexts can lead to orders of magnitude improvements in energy efficiency. This talk will present recent highlights from our work examining dissipation in graphene and carbon nanotubes, with consequences for many nanoelectronics [2-5]. We have examined Joule heating and power dissipation in graphene devices and interconnects [2,3], and found scaling with device dimensions, device electrostatics, and the thermal properties of the underlying substrate. In addition, we have uncovered thermoelectric effects at graphene device contacts [4] which could be used to partially mitigate the heat generated during circuit operation in future technologies. We have also examined the fundamental limits of energy per bit in data storage based on phase-change materials (rather than charge storage) with carbon nanotube electrodes [5]. Creating ultra-scaled memory bits, we have found up to two orders of magnitude reduction in power dissipation vs. industry state-of-the-art, towards single fJ/bit. The results suggest much room to improve energy use in nanoelectronics, towards near-fundamental limits, through the design of geometry and materials. [1] E. Pop, "Energy Dissipation and Transport in Nanoscale Devices," Nano Research 3, 147 (2010) [2] M.-H. Bae, Z.-Y. Ong, D. Estrada, E. Pop, "Imaging, Simulation, and Electrostatic Control of Power Dissipation in Graphene Devices," Nano Letters 10, 4787, (2010) [3] A.D. Liao, J.Z. Wu, X. Wang, K. Tahy, D. Jena, H. Dai, E. Pop, "Thermally-Limited Current Carrying Ability of Graphene Nanoribbons," Phys. Rev. Letters 106, 256801 (2011) [4] K.L. Grosse, M.-H. Bae, F. Lian, E. Pop, W.P. King, "Nanoscale Joule heating, Peltier cooling and current crowding at graphene-metal contacts," Nature Nanotechnology 6, 287 (2011) [5] F. Xiong, A. Liao, D. Estrada, E. Pop, "Low Power Switching of Phase-Change Materials with Carbon Nanotube Electrodes," Science 332, 568 (2011)
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
11:00 AM - *W13.06/WW7.06
Electronic Skin
Ali Javey 1
1UC Berkeley Berkeley USA
Show AbstractIn this talk, the use of carbon nanotubes as the active and passive components of various flexible electronic and sensor systems will be discussed. Specifically, multifunctional flexible systems capable of detecting and responding to external stimuli will be discussed. The work sets the stage for the development of user-interactive systems with advanced functionalities that can be readily attached to any surface. The stimuli could include pressure (e.g., touch), temperature, strain (e.g., crack formation), humidity and chemicals, and more. The enabled “electronic-skin” presents a new class of smart materials, which provides interfacing of a system to the external ambient with high fidelity.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
11:15 AM - *W12.07
Tailoring the Surface Electronic Properties of Graphene
Andrew Wee 1
1National University of Singapore Singapore Singapore
Show AbstractThe 2D material graphene is a semi-metal with high room temperature mobility that is being investigated for many applications, including electronic and photonic devices. A major challenge in graphene-based electronic devices is opening the energy band gap and doping, whilst minimizing defects or disruptions to its hexagonal 2D lattice. The zero band gap in graphene is due to the identical environment of the two carbon atoms in the graphene unit cell. To open a gap, we need to make the potential on the two atoms different, and there are three general approaches: (1) use surface adsorbates that introduce a potential difference; (2) reduce the dimensionality of graphene from 2D to 1D graphene nanoribbons (GNRs); (3) apply a strong electric field perpendicular to bilayer graphene (BLG) causing an asymmetry in the two layers. In this talk I will discuss some of the work that our group has done using the first two methods. Surface adsorption with appropriate atomic or molecular donors and acceptors represents a simple method to non-destructively dope graphene. Surface transfer doping relies on charge separation at interfaces, and represents a valuable tool for the controlled and non-destructive doping of 2D materials. Model in-situ ultrahigh vacuum studies on epitaxial graphene as well as electrical transport measurements on prototype graphene devices doped by this method are presented. I will also discuss our recent work on the bottom-up production of atomically precise armchair graphene nanoribbons (acGNRs) using surface-assisted coupling of molecular precursors. The local density of states (LDOS) observed by scanning tunneling spectroscopy (STS) reveal the atomic scale electronic structure and the influence of the substrate. Our results have implications for the understanding of the electronic properties and potential applications of acGNRs.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
11:30 AM - W11.07
Enhanced Performance of Carbon Nanotube Field-effect Transistors due to Gate-modulated Electrical Contacts
Aron W. Cummings 1 Francois Leonard 1
1Sandia National Laboratories Livermore USA
Show AbstractDue to their unique electrical properties, carbon nanotubes (CNTs) have attracted a great deal of interest for their potential in next-generation nanoelectronics. While individual CNTs can exhibit favorable electronic properties, it is often the CNT/metal contacts that govern the behavior and performance of CNT devices. Thus, it is important to develop a fundamental understanding of contacts to CNTs in order to fully realize the potential of CNT devices. Recent experimental work has provided new insight by demonstrating that the nanotube/palladium contact resistance depends on the contact length, and that appropriate control of the contacts allows for the realization of high-performance short-channel CNT field-effect transistors with subthreshold swings that surpass those expected from conventional scaling theory. This last result is particularly important not only for technology, but also because it suggests that new paradigms govern the properties of these nanoscale transistors. In this work, we use numerical simulations to study these recent experimental measurements and demonstrate that the superior scaling behavior is due to a strong modulation of the contacts by the gate. This results not only in modulation of the band alignment at the contact, but also leads to a novel phenomenon where the subthreshold swing is dominated by gate control of the near-contact region in the channel. As a consequence, subthreshold swings for short-channel devices are below what is predicted by standard theory, allowing for improved performance. Our results introduce important design considerations for CNT electronic devices, and should also apply to devices made of other nanomaterials such as nanowires and graphene.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
11:30 AM - W13.07/WW7.07
Roll to Roll (R2R) Gravure Printed Electronic Devices for 96bit RFID and NFC Tags Using Single-walled Carbon Nanotube (SWCNT)
Minhun Jung 1 Junseok Kim 1 2 Donghwan Kim 1 2 Kyunghwan Jung 1 Dongsun Yeom 1 2 Gwangyong Lee 1 Daae Kim 1 2 Chaemin Lim 1 Hwiwon Kang 1 2 Vivek Subramanian 4 2 Ali Javey 4 2 Gyoujin Cho 2 3
1Paru Co., Ltd. Sunchon Republic of Korea2Sunchon National University Sunchon Republic of Korea3Sunchon National University Sunchon Republic of Korea4University of California Berkeley USA
Show AbstractThe ubiquitous society will be fully realized when costless and flexible electronics are available. However, current Si based electronics have reached the limit of cost and flexibility. In other words, the photolithography based process would not be a manufacturing process for producing those costless and flexible electronics anymore. Recently, that&’s why roll to roll (R2R) printing process has been considered as an alternative manufacturing process for the production of the costless and flexible electronics. As a consequence of developing R2R printing process as a manufacturing process for the costless and flexible electronics, this dissertation has been pioneered in employing R2R gravure for fabricating 13.56 MHz passive RFID tags as a reference sample for the costless and flexible electronics. For developing the R2R gravure process in printing 13.56 MHz passive RFID tags, first, all of inks (conducting, semiconducting and dielectric) have been developed respectively using silver nanoparticles, single walled carbon nanotubes (SWNTs) and BaTiO3 nanoparticles. Second, all printed thin films transistors (TFTs) on plastic foils using the developed inks were fully characterized and simulated to further integrate into 1 bit to 96 bit 13.56 MHz RFID tags on plastic foils. Finally, using all gravure printed transistor, we have made 1 bit to 96 bit RFID tag and NFC tag using electro chromic displayer and smog sensor on 1 bit RFID tag.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
11:45 AM - W11.08
Development of Complementary Carbon Nanotube Network Logic Gates
Qing Zhang 1
1Nanyang Technological University Singapore Singapore
Show AbstractDevelopment of Complementary Carbon Nanotube Network Logic Gates Qing Zhang*, Pingqi Gao, Jianping Zou, Hong Li, Kang Zhang NOVITAS, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, S1-B2c-20, 639798 Singapore. *E-mail: [email protected] (Corresponding Author) ABSTRACT: Single-walled carbon nanotubes (SWNTs) are a type of very good materials for high performance electronic devices. However, to fabricate the devices containing an individual SWNT or some aligned SWNTs requires either post alignment of grown SWNTs or growth alignment during SWNT formation. These alignment processes are challenging and time consuming and, in some cases, the alignment processes are not compatible to IC fabrication processes. In contrast, the devices based on SWNT network or thin films do not need aligned SWNTs and, therefore, no alignment process is required. The SWNT network device performances are quite impressive. However, to our knowledge, all reported logic gates/circuits based on top-gated SWNT network are constructed with unipolar p-type SWNT network field effect transistors (NET-FETs). Those gates/circuits obviously lack the merit of the lowest standing power consumption, which could be offered by complementary structures where p- and n-type FETs are directly involved. In this talk, we demonstrate complementary inverters, NOR, NAND, OR, AND logic gates integrated from high performance p- and n-type SWNT-NET-FETs. Our p- and n-type FETs show very good superior electric performances with a typical mobility up to 80 cm2V-1s-1, subthreshold slope as low as 130 mVdec-1, operating gate voltage less than 4 V, on/off ratio larger than 104. A ring oscillator constructed from five inverters demonstrates the capability of driving multistage logic gates. The fabrication processes technique described here is fully compatible with conventional silicon microelectronic technologies and can be it is applicable to CVD-grown and suspension-coated SWNT networks as well.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
11:45 AM - W12.08
Visualizing Berry Phases in Graphene by Circularly-polarized Photoemission
Tai C Chiang 1 Yang Liu 1 Guang Bian 1 Thomas Miller 1
1Univ. of Illinois Urbana USA
Show AbstractThe phases of electronic wave functions in solids play a key role in a variety of physical phenomena. For the Dirac cones in graphene layers, these phases determine the electronic chirality that give rise to unconventional quantum Hall effects. The direction of the isospin vector in monolayer graphene tracks the rotation of the electronic crystal momentum, resulting in a Berry phase of π. By contrast, the isospin in bilayer graphene rotates twice as fast, resulting in a Berry phase of 2π. We show that these chiral relationships are connected to the spectral intensity patterns as measured by angle-resolved photoemission spectroscopy (ARPES) under different polarization conditions. Specifically, the semicircular ARPES patterns for monolayer (bilayer) graphene prepared on SiC rotate by ±90° (±45°) in changing from linearly to circularly polarized light with a specific sense of rotation. Furthermore, the patterns below and above the Dirac point are complementary in each case. Calculations confirm that these effects arise from the interplay between electronic chirality and coherent interference of dipole transitions from the s and p polarization components of the incident light. The measured circular dichroism thus yields definitive information about the phases of the electronic states.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
11:45 AM - W13.08/WW7.08
Effect of Density Gradients on the Deformation of Carbon Nanotube Pillars: An In-situ Study
Siddhartha Pathak 1 Nisha Mohan 1 Elizabeth Decolvenaere 1 Alan Needleman 2 Mostafa Bedewy 3 A. John Hart 3 Julia R Greer 1
1California Institute of Technology (Caltech) Pasadena USA2University of North Texas Denton USA3University of Michigan Ann Arbor USA
Show AbstractThe compressive behavior of nominally vertically aligned carbon nanotube (VACNT) patterned into pillars, typically resembles that of an open cell foam. Such stress-strain data usually exhibits 3 distinct regimes: (1) short initial elastic section followed by (2) an extended stress plateau up to 70-80 % strain, and (3) densification characterized by a rapid stress increase with subsequent strain. In contrast to foams, the plateau regions of VACNTs are not flat but have a strengthening slope, which ranges from a flat plateau (slope ~ 0) to a strong positive slope (~ 11 MPa). The mechanisms for such a stress plateau strengthening have not been understood, although it has been suggested that a linear non-uniformity in the yield strength along the sample height scaled with the plateau slope (Hutchens, S. B., A. Needleman and J. R. Greer, 2011. Journal of the Mechanics and Physics of Solids 59(10): 2227-2237, Bedewy,M. et al. J. Phys. Chem. C 2009, 113, 20576-20582). We present the results of in-situ micro-mechanical experiments, image analysis, and mechanics modeling, all of which suggest a correlation between the density gradient along the bundle height and the strengthening slope of the plateau region. Two sets of VACNT micro-pillars with either square (40 µm ×25 µm, height × width) or circular (40 µm ×30 µm, height × diameter) cross-sections were grown on the same Si substrate by chemical vapor deposition. Uniaxial compression experiments were performed in-situ, in a custom-built nano-mechanical deformation instrument, SEMentor. Two distinct compressive signatures were observed: (1) square pillars deformed via a bottom-to-top localized buckling sequence and had sloped stress plateau (slope ~ 0.5 MPa) and (2) in circular pillars the bottom buckles always formed last, with no observable strengthening slope in the stress plateau (slope ~ 0). Image analysis of the scanning electron micrographs taken along the heights of each sample type revealed distinct gradients in the relative CNT number density as a function of sample height. A compressible elastic-viscoplastic constitutive relation, previously developed to model the inelastic behavior of VACNTs (Hutchens, S. B., A. Needleman and J. R. Greer, 2011. Journal of the Mechanics and Physics of Solids 59(10): 2227-2237), is used in finite deformation, finite element analyses to explore the stress-strain response of VACNTs as a function of varying yield gradient. The results of these experiments, image analysis, and modeling are interpreted in concert in the framework of foam-like deformation and suggest that density is a key parameter, which governs compressive response of VACNTs.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
12:00 PM - W11.09
Selective Placement of Individual Carbon Nanotubes and Fabrication of High-density Field-effect Transistors
Hongsik Park 1 Ali Afzali 1 Shu-Jen Han 1 George S Tulevski 1 Aaron D Franklin 1 Jerry Tersoff 1 James B Hannon 1 Wilfried Haensch 1
1IBM T. J. Watson Research Center Yorktown Heights USA
Show AbstractRecent progress in aggressively-scaled carbon nanotube (CNT) transistors shows that CNT can be a potential successor to silicon for low-voltage, high-performance logic applications, offering considerable advantages in device scaling [1,2]. However, one of the foremost challenges to a CNT technology is the ability to controllably place CNTs in prescribed locations on a substrate at a very small pitch. Here, we demonstrate a selective placement method, based on ion-exchange between a functional surface monolayer and surfactant-wrapped CNTs in an aqueous solution. We selectively self-assembled 4-(N-hydroxycarboxamido)-1-methylpyridinium iodide (NMPI) on hafnium oxide (HfO2) regions of a patterned SiO2/HfO2 surface. The anion of NMPI (iodide) is exchanged with the anionic surfactant (sodium dodecyl sulfate) wrapped around the CNTs, leading to a strong coulombic attraction between the negatively charged surfactant and the positively charged monolayer. The electrostatic interaction enables the placement of individual CNTs with excellent selectivity and a density of 109/cm2. Using this placement technique, more than 10,000 of CNTFETs have been fabricated and efficiently characterized at predetermined positions on a single chip. The ability to characterize such large distributions of devices is crucial for analyzing transistor performance, yield, and semiconducting nanotube purity—all vital aspects for a CNT technology. The potential of this placement technique for enabling large-scale integration is verified by fabricating high-density CNT transistor arrays with a small device-pitch of 300 nm and a yield greater than 90%. Future exploration of CNTFETs will benefit from this accessible way of assembling individual nanotubes with high precision and at a large scale. [1] A. D. Franklin et al., Nano Lett. 12, 758minus;762 (2012) [2] F. Kreupl, Nature 484, 321 - 322 (2012).
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
12:00 PM - W12.09
Optoelectronic Properties of Graphene: Where It Stands Among Carbon Allotropes
Chandan Biswas 1 Young Hee Lee 1
1Sungkyunkwan University Suwon Republic of Korea
Show AbstractOptoelectronic properties in low-dimensional materials are significantly different than their bulk counterparts. The family of carbon allotropes, with all possible dimensionalities gives us a unique chance to understand the optoelectronic interactions at nanoscale as well as in bulk without altering the basic material (carbon). Direct bandgap materials such as semiconducting carbon nanotubes (CNTs) and graphene nanoribbons (GNR) show exotic electronic properties and highlight the opportunity of an ideal system to be simultaneously used both in electronic and optoelectronic devices. Here we present recent progresses in the optoelectronic properties of graphene and their comparisons with carbon nanotubes and other related carbon allotropes. We particularly focused on the comparisons of transparent conducting films (TCF) and photoconductance properties. TCF performance of different types of graphene and CNTs were compared in depth, together with indium tin oxide (ITO) films.1 The dependence of the TCF performance on different types of CNT and different synthesis methods were discussed and compared with varied number of graphene layers. Moreover, recently observed persistent photoconductance properties in graphene were discussed in detail.2 This phenomenon has never been observed in metals due to their high carrier density. Two-dimensional metallic graphene strongly interacts with environment and/or substrate, causing this phenomenon, which is markedly different from three-dimensional semiconductors and nanoparticles. The dependence of this photoconductance property on number of graphene layers, transferred substrates, and surrounding environment was presented elaborately. The advantages and disadvantages in the optoelectronic properties of graphene were highlighted in comparison with other carbon allotropes. Furthermore, intuitions in the future optoelectronic nanocarbon research directives were discussed. References: 1. Advanced Functional Materials 2011, 21, 3806-3826. 2. Nano Letters 2011, 11, 4682-4687.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
12:00 PM - W13.09/WW7.09
Nickel Plating of Aligned Carbon Nanotubes Grown on 3-Dimensional Carbon Foam Structures
Betty Quinton 1 2 Jack Burke 3 Kevin Leedy 1 Bang Tsao 3 Jacob Lawson 3 Qiuhong Zhang 3 James Scofield 1 Neil Merrett 1 Sharmila M Mukhopadhyay 2
1AFRL Wpafb USA2Wright State University Dayton USA3UDRI Dayton USA
Show AbstractCarbon nanotubes (CNTs) have been the focus of significant research since Iijma&’s 1991 Nature article. Due to their superior mechanical, electrical and thermal properties CNTs may prove beneficial for a wide range of potential applications. Most research efforts have primarily been directed toward growing CNTs on flat substrates. In this study, dense, aligned CNTs were grown on 3-dimensional open cell reticulated carbon foam. The CNTs were realized using floating catalyst chemical vapor deposition with xylene and ferrocene as the carbon and catalyst sources, respectively. The best results were achieved when a thin layer of Al2O3 was deposited on the foam via atomic layer deposition (ALD) prior to CNT growth. The foam samples were 1 cm x 0.5 cm x 0.5 cm, yet CNTs were found throughout the volume of the sample and not just the outer layers of the foam. Growing CNTs on a 3-Dimension foam structure dramatically increases the available surface area, potentially enhancing mechanical and thermal performance characteristics of the foam. The nanotube/foam composite could make an ideal packaging material for power electronics modules. Namely, the composite would help remove heat from high power devices and possibly address concerns about coefficient of thermal expansion mismatch (CTE) between materials. In order to effectively utilize the nanotube/foam in a package stacking configuration, however, it is necessary to braze or bond the composite to the other materials in the stack. Hence, after formation the nanotube/foams were coated with a layer of nickel. Two different nickel coating techniques were explored-electroless plating and electroplating. The results of this study will be presented, including growth details, microscopy at various stages of processing, and thermal property analysis.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
12:15 PM - W11.10
Semi Self-aligned Carbon Nanotube/Si Heterojunction Diodes toward High-sensitivity Photodetectors
Takashi Uchino 1 2 Fumiya Shinpo 1 Greg N Ayre 3 David C Smith 3 Peter Ashburn 2
1Tohoku Institute of Technology Sendai Japan2University of Southampton Southampton United Kingdom3University of Southampton Southampton United Kingdom
Show AbstractSemiconducting carbon nanotube (CNT)/Si heterojunctions fabricated by a semi self-aligned process is presented. The devices on the heavily doped n-type Si substrates showed rectifying behavior with the ideality factor around 1.2, while the devices on the heavily doped p-type Si substrates showed quasiohmic behavior. The current-voltage (I-V) characteristics of the diodes were investigated in the temperature range from 50 to 300 K. The transition from thermionic emission to tunneling process was seen in the forward current at 130 K. The CNT diodes have attracted great interest due to their many potential applications, such as solar cells [1,2] and photodetectors [3,4] in both visible and infrared regions. For these applications, single walled CNTs (SWNTs) could provide high efficiency for the photovoltaic devices and high sensitivity for the photodetectors at low temperatures, because multiple electron-hole pairs associated with the higher subbands can be generated in SWNTs [5]. In addition, direct band-gap of SWNT may deliver benefits for optoelectronic applications because its band-gap can vary from 0 to around 2 eV. This work presents a fabrication process for the CNT diodes based on the semi self-aligned technique that enables oxide-free junction formation between CNTs and the Si substrate. The metal catalyzed CVD growth on a SiO2 layer was employed to prepare SWNTs with few defects. The Pd contacts on the SiO2 layer were formed using direct write laser lithography and lift-off. After the removal of the SiO2 layer, CNTs attached directly to the Si substrate via van der Waals forces. Electrical measurements have been made on more than 50 functional devices with the yield of 72.5%. The devices on the n+ Si substrates showed rectifying behavior and the photovoltaic effect, while the devices on the p+ Si substrates showed quasiohmic behavior. The I-V characteristics of the diodes have been investigated in the temperature range from 50 to 300 K. From the temperature dependence of the forward current, the barrier height at Pd/CNT interface is estimated to be 0.3-0.5 eV and the transition from thermionic emission to tunneling process was seen at 130 K. This semi self-aligned fabrication process could be easily applied to other similar devices such as graphene/Si devices. References [1] J. Lee, Appl. Phys. Lett., 87, 073101 (2005). [2] J. Wei, Y. Jia, Q. Shu, Z. Gu, K. Wang, D. Zhuang, G. Zhang, Z. Wang, J. Luo, A. Cao, and D. Wu, Nano Lett., 7, 2317 (2007). [3] M. Tzolov, B. Chang, A. Yin, D. Straus, J. Xu, and G. Brown, Phys. Rev. Lett., 92, 075505 (2004). [4] C. Liang, S. Roth, Nano Lett., 8, 1809 (2008). [5] N. Gabor, Z. Zhong, K. Bosnick, J. Park, P. McEuen, Science, 325, 1367 (2009).
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
12:15 PM - W12.10
Optical Conductivity Study of Screening of Many-body Effects in Graphene
Pranjal Kumar Gogoi 1 2 3 Iman Santoso 1 3 4 Surajit Saha 1 2 Sihao Wang 2 Antonio H. Castro Neto 2 4 Kian Ping Loh 1 4 5 T. Venkatesan 1 2 6 Andrivo Rusydi 1 2 3
1National University of Singapore Singapore Singapore2National University of Singapore Singapore Singapore3National University of Singapore Singapore Singapore4National University of Singapore Singapore Singapore5National University of Singapore Singapore Singapore6National University of Singapore Singapore Singapore
Show AbstractRecent theoretical studies have shown that electron-electron (e-e) and electron-hole (e-h) interactions play important roles in many observed quantum properties of graphene making this an ideal system to study many body effects. In this report we show that spectroscopic ellipsometry can enable us to measure this interaction quantitatively. We present spectroscopic data in two extreme systems of graphene on quartz (GOQ), an insulator, and graphene on copper (GOC), a metal which show that for GOQ, both e-e and e-h interactions dominate while for GOC e-h interactions are screened. The data further enables the estimation of the strength of the many body interaction through the effective fine structure constant (αg*). The αg* for GOQ indicates a strong correlation with an almost energy independent value of about 1.37. In contrast, αg* value of GOC is photon energy dependent, is almost two orders of magnitude lower at low energies indicating very weak correlation.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
12:15 PM - W13.10/WW7.10
Continuous Growth of Carbon Nanotube Forests on Flexible Substrates Using a Novel Concentric Tube Reactor
Erik Polsen 1 Georges Pavlidis 2 1 John Hart 1
1University of Michigan Ann Arbor USA2Imperial College of Science, Technology and Medicine, University of London London United Kingdom
Show AbstractWhile carbon nanotube (CNT) forests have been shown to have outstanding thermal, electrical and mechanical properties, devices and interfaces that utilize these characteristics cannot bear the cost of batch-style processing on silicon wafers. Moreover, growth of the flexible electronics and composites markets has created demand for efficient chemical vapor deposition (CVD) equipment for continuous processing. Lessons learned from our previous work with continuous manufacturing of CNT forests on rigid substrates drove the design specifications for the continuous manufacturing process and machine that is presented here. The machine utilizes a novel concentric tube design, where a thin foil substrate, wrapped in a helical path around the inner tube, continuously translates and is exposed to the gas atmosphere in the small uniform gap between the concentric tubes. Radial holes in the inner tube sidewall allows for a carbon precursor gas to be introduced to the foil at a specific location in the heated region. This decoupled, multi-zone reaction chamber enables reducing and growth atmospheres to be seamlessly combined in a controlled thermal environment, as validated by 3D computational fluid dynamics (CFD) simulations. We present the design and construction of a bench-scale prototype machine, along with a characterization study of the system illustrating the control of forest height and density via the substrate feed-rate and the concentric tube gap. Finally, we summarize an analysis of gas utilization and throughput that facilitates the adoption of this machine design for different substrate sizes and applications, which when compared to lab scale systems, enables a feed gas volume savings of over 90%. This work, in combination with continuous feed evaporative deposition of catalyst particles, enables a continuous manufacturing process and device for low-cost and scalable production of VA-CNT forests on flexible substrates.
W11: Graphene amp; Carbon Nanotube Electronics: Devices, Fabrication, and Properties I
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 3, Ballroom B
12:30 PM - W11.11
Aromatic Nitrogen Doped Graphene Film and Its Optoelectronic Application
Jin Ok Hwang 1 Dong Sung Choi 1 Sang Ouk Kim 1
1Korea Advanced Institute of Science and Technology Daejeon Republic of Korea
Show AbstractWe introduce that hydrazine treatment of graphene oxide causes insertion of an aromatic N2 moiety in a five-membered ring at the platelet edges and also restores graphitic networks on the basal planes. To identify and study the phenomenon, solid-state nuclear magnetic resonance spectroscopy (SSNMR), X-ray photoelectron spectroscopy (XPS), and ultraviolet photoelectron spectroscopy (UPS) were used. Furthermore, we demonstrate an effective process to fabricate highly reduced and N-doped graphene electrodes on a glass substrate for optoelectronic devices. More interestingly, workfunction of graphene electrodes could be precisely tuned with range from 4.22 eV to 4.41 eV by N-doping and reduction conditions. Lastly, The inverted-structure polymer light emitting diodes (iPLEDs) with a green light-emitting polymer, poly(9,9'-dioctylfluorene)-co-benzothiadiazole (F8BT), were fabricated employing the N-doped graphene films on glass substrates as transparent cathodes. Despite a great difference (20 times) of sheet resistance values between FTO (15 ohm/sq.) and N-doped graphene (300 ohm/sq.) electrodes, the performance of iPLEDs employing the N-doped graphene electrode is comparable to that of iPLEDs based on FTO electrode due to minimized injection barrier by workfunction tunability.
W12: Photonic Properties
Session Chairs
Young Hee Lee
Wonbong Choi
Thursday AM, November 29, 2012
Hynes, Level 3, Room 312
12:30 PM - W12.11
Ultra-high Quantum Gain Graphene-based Photodetectors
Fangze Liu 1 Xiaohong An 1 Swastik Kar 1
1Northeastern University BOSTON USA
Show AbstractGraphene-based photonic applications have attracted significant attention due to its broad spectral bandwidth and fast response time. However, the responsivity of photodetectors that use graphene as the photon-absorbing medium, such as graphene-metal junctions, is usually limited to ~10-2 A/W (at visible wavelengths) due to its weak light absorption (2.3 %). Here, we report on the development of a completely new type of graphene-based photodetector that operates by "borrowing" photoexcited carriers from an adjacent silicon substrate. The "photo"-current due to these extra carriers scales with the applied voltage across the graphene channel, and photocurrent responsivity values exceeding 104A/W(corresponding to quantum gain values exceeding 104 at 488nm wavelength) could be obtained at V=3.2 V. The responsivity can be further tuned by applying an additional reverse-bias voltage at the graphene/silicon junction or by controlling the geometry of the device. These devices can easily detect sub-nanoWatt incident powers and further optimization could push them to detection levels of picoWatts, making them highly attractive for a broad range of optoelectronic devices such as weak-signal detectors, camera-pixels, and optical switches. The fabrication of the devices, and characterizations including optical power and wavelength dependence will be described. The possible underlying mechanism of this extremely high-gain photodetection will be discussed.
W13/WW7: Joint Session: R2R Compatible and High-throughput Deposition of Carbon Nanomaterials
Session Chairs
Thomas Blaudeck
Krzysztof Koziol
Thursday AM, November 29, 2012
Sheraton, 2nd Floor, Back Bay A
12:30 PM - W13.011/WW7.11
A Roll-to-roll Graphene Deposition with 594 mm Width by Using a Slot Antenna Type Microwave Plasma CVD toward an Industrial Mass Production
Takatoshi Yamada 1 2 Jaeho Kim 1 2 Masatou Ishihara 1 2 Masataka Hasegawa 1 2
1Nanotube RC, AIST Tsukuba Japan2TASC Tsukuba Japan
Show AbstractAn industrial mass production process of graphene is one of the most important technologies for graphene electronics and optoelectronics. The thermal CVD on metal catalysts [1] and the reduction of graphene oxide [2] were reported for the syntheses of graphene films on large area. However, higher temperature and long process time are remaining problems for the industrial mass productions. In our previous study, the relatively low deposition temperature had been realized by using microwave plasma CVD [3]. Recently, we developed a roll-to-roll graphene synthesis based on a linear antenna type microwave plasma CVD and demonstrated the continuous deposition of graphene with A4 (297 mm) width [4]. In order to develop the practical mass production system of graphene, it is necessary to widen the substrate width and to increase the deposition rate. However, it is difficult to achieve above two problems using the linear antenna type system. A slot antenna type microwave plasma CVD system is expected to be solved the above problems since the slot antenna type plasma CVD enabled us to arrange coat diamond films on large area by controlling arrangement of slots. By modulating the antenna structure in CVD apparatus, we succeeded the increasing of the deposition area and the flow speed. Four slot antennas were prepared to form plasma in the area of 650x650 mm2. Each slot antenna was controlled one microwave generator with maximum power of 5 kW, which indicated that total microwave power was 20 kW. To control the film temperature, sample holder was equipped with a heating system. A roll-to-roll system consists of an unwnder and a roll up mechanism. Roll-up process had a motor to dominate the film flow speed. The unreeling process had a decelerator to keep the appropriate tension of Cu film. The flow speed of foils was 60 mm/s, which was one order faster than the previous our process. The film temperature was about 400 C. Total microwave power is 18 kW. The pressure of CH4, H2 and Ar atmosphere was 5 Pa. A rolled 33µm-thick cupper film with 594 mm in width was used as substrate. It was confirmed by Raman spectroscopy that a continuous graphene film with 594 mm width was successfully deposited on Cu foil. By using the slot antenna type microwave plasma CVD, both of increasing the deposition area and the flow speed were realized for the graphene mass production. [1]S. Bae et al., Nature Nanotechnol. 5 (2011) 574. [2] H. Yamaguchi et al., ASC Nano 4 (2010) 524. [3] J. Kim et al., Appl. Phys. Lett. 98 (2011) 091502. [4] T. Yamada et al., Carbon 50 (2012) 2515.
12:45 PM - W13.012/WW7.12
Indium Plated Carbon Nanotubes Pattern on Flexible Substrate Defined by Ink-jet Printing
Pingye Xu 1 Michael C Hamilton 1
1Auburn University Auburn USA
Show AbstractCarbon nanotubes have been actively studied since its first observation in 1991. Various methods of fabricating CNT patterns have been researched. Chemical vapor deposition (CVD) can grow CNT patterns with micro-level resolution. However, CVD is limited to be used on thermally durable growth substrates. Other method, such as polydimethylsiloxane stamps based transfer printing method, can achieve a CNT pattern resolution of 50 um, but requires various stamps for specific patterns. Electrophoresis deposition of CNT has also been researched, but has difficulties making defined micro-level patterns. Ink-jet printing is a method capable of printing CNT patterns with a resolution of 20um. In this work, ink-jet printing is used to define single-walled carbon nanotubes (SWNTs) patterns. Sodium dodecyl sulfate (SDS) is used as surfactant to facilitate SWNT to disperse in DI water. This dispersion is used as ink for inkjet printing. Printed CNT pattern has a micro-level feature size. The as printed pattern is electroplated with indium sulfamate plating bath. Instead of being plating on top of the CNT pattern, indium fills in the CNT mesh and a composite of SWNT and indium is formed. This composite of SWNT and indium display higher durability compared with pure indium and high electrical conductivity. This indium coated CNT has potential of being used as interconnect. Indium bumps can be used as interconnect. However, indium bumps are not reworkable. By adding CNT into indium bumps, indium bumps are stronger and reworkablity becomes possible. Reworkablity test has been performed. To further research its potential of being used as interconnects, these interconnects have to be useful at high frequency. Using ink-jet printing as a patterning method, coplanar waveguides with indium plated CNT as interconnect material are fabricated and their high frequency performance has been researched.
Symposium Organizers
John J. Boeckl, Air Force Research Laboratory
Krzysztof Koziol, University of Cambridge
Wonbong Choi, Florida International University
Young Hee Lee, "Sungkyunkwan University Advanced Institute of Nanotechnology"
Jud Ready, Georgia Tech Research Institute
Symposium Support
Air Force Research Laboratory
AIXTRON SE
Agilent Technologies
Angstrom Engineering Inc.
Asylum Research
Bluestone Global Tech
Graphenea Nanomaterials
iTRIX Corporation
Janis Research Company, LLC.
Office of Naval Research
planarTEC
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
2:30 AM - W21.01
Next-generation Heating Elements Based on Aligned Carbon Nanotube Films
Dawid Janas 1 Krzysztof K.K. Koziol 1
1University of Cambridge Cambridge United Kingdom
Show AbstractWe synthesized highly-aligned and pristine carbon nanotube (CNT) sheets according to the direct-spinning CVD method [1]. The specimens dimensions were tailored by straight-forward cutting with a rotary trimmer and then the films were peeled off from polycarbonate foil support where necessary. The devices recently prepared in-house based on these CNT filaments showed excellent electrothermal performance by means of Joule heating [2]. The heating elements are porous (ca. 200 m2g-1), ultra-light (ρ<0.1 gcm-1), 100% efficient and show stable time based performance without any change of the active material composition and microstructure up to 400°C in air as proven by Raman spectroscopy and Electron microscopy studies, respectively. Moreover, the material revealed spectacular heat-exchange behavior with almost instantaneous transition between room temperature and CNT surface temperature up to 500°C upon turning on/off bias voltage. Literature search has neither indicated hitherto reports of a similar CNT device with temperature operating window above 160°C nor one, which would be anisotropic and free-standing [3-6]. Even more, the CNT films presented herein show several orders of magnitude advantage over their most-potent rivals in terms of resistivity (nichrome, kanthal and other alloys) reaching values of the order of 10-4 Omega;m. According to the discovery, just 1 mg of the CNT films can readily substitute over 100 g of the aforementioned metals. We exploited the properties of the CNT film heaters in two modes of heating. Firstly, they were evaluated in immersion heating systems. We found them equally efficient in water boiling as the off-the-shelf heating appliances whilst having a fraction of their weight and size. That encouraged us to devise a novel approach of a chemical reaction driven by an in-flask heater. Secondly, we examined how CNT films can constitute a very thin and well adhering heating layer ready to conform to any shape. By virtue of feather-like weight of CNT films, we explored and demonstrated rapid deicing of a wing on a 1:85 scale model aircraft. In a real life scenario it could be followed by immediate take-off and fully operational control system. References: [1] Y.-L. Li, I.A. Kinloch, A.H. Windle, Science 2004, 304, 276. [2] D. Janas, K. Koziol. Submitted (2012). [3] Z.P. Wu, J.N. Wang, Physica E 2009, 42, 77. [4] D. Kim, H.-C. Li, J.Y. Woo, C.-S. Han, J. Phys. Chem. C 2010, 114, 5817. [5] P. Liu, L. Liu, K. Jiang, S. Fan, Small 2010, 7, 732. [6] H.-S. Jang, S.K. Jeon, S.H. Nahm, Carbon 2011, 49, 111.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
2:30 AM - W22.01
Directly Spun Carbon Nanotube Fibers Based Multi-functional Composites
Sameer S Rahatekar 1 Jinhu Chen 2 Kalyan Hazra 1 Krzysztof Koziol 2 Agnieszka Lekawa-Raus 2
1University of Bristol Bristol United Kingdom2University of Cambridge Cambridge United Kingdom
Show AbstractThe biggest challenge in designing high performance, multifunctional material from carbon nanotubes has been to scale up their molecular properties from nano-scale to engineering scale. Continuously spun pure carbon nanotubes fibres from a gas phase reactor offer an excellent opportunity to address this challenge. In the present study, we have used continuously spun highly aligned carbon nanotube architecture to manufacture high volume fraction of carbon nanotube composites. Number of researcher have found that achieving high volume fraction of individually dispersed carbon nanotube in polymer matrix was very difficulty due to rapid increase the viscosity of polymer nanotube suspesion. We were able to overcome this challenge by infusing epoxy resin though continuously spun, highly aligned carbon nanotube fibres using conventional resin infusion methods used for manufacturing of carbon fibre composites. The resulting composites showed excellent electrical conductivity which was found to be orders of magnitude higher than that is required for EMI shielding. The stiffness of the carbon nanotube composite was increased by more than 3 times to that of pure epoxy. Our results offer an excellent opportunity to use these composites as a lightweight structure for lighting strike protection of aircraft.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
2:45 AM - W21.02
Correlation of the Electrochemical Properties, Materials Characteristics and Plasma-enhanced CVD Growth Parameters for Carbon Nanostructures: From Graphene Nanoribbons to Graphenated CNTs
Akshay S Raut 1 Stephen Ubnoske 1 Charles B Parker 1 Brian Stoner 2 1 Jeffrey T Glass 1
1Duke University Durham USA2RTI International Durham USA
Show AbstractA design of experiments (DOE) study providing responses of carbon nanostructures to growth parameter variations in a 915 MHz Plasma Enhanced CVD system will be reported. Parametric variation has included temperature, flow rate ratio, catalyst thickness, pretreatment time and growth time. Responses under investigation are: SEM (morphological details such as feature spacing, feature height and existence of nanotubes and nanoribbons), Raman spectra (defect and edge density), and yield (mass per unit nominal substrate area). Screening runs already conducted have revealed that a range of morphologies can be obtained, from graphene nanoribbons to aligned carbon nanotubes to graphenated carbon nanotubes (g-CNTs). The variation in carbon edges for these different structures provides for a large variation in charge storage capacity and reactivity. Similarly, the variation in dimensionality indicates significant increases in these parameters per nominal area. To help explain the properties, this presentation will outline a recent microstructural classification developed for sp2 bonded carbon nanostructures in the context of their edge vs. basal plane exposure and whether they are predominantly planar or three dimensional. The g-CNTs combine both 3-D morphologies with high edge densities. This hybrid structure consists of graphene foliates protruding from the sidewalls of aligned multi-walled CNTs, and has been characterized using electron microscopy, Raman spectroscopy, and electrochemical techniques. Electrochemical impedance spectroscopy indicates that the weight specific capacitance for the g-CNTs is 5.4x that of similar CNTs without the graphene foliates. Furthermore, pulsed charge injection measurements demonstrate a 7.3x increase in capacitance per unit area. The relationship between the growth parameters in the DOE and these electrochemical properties will be related through materials characterization techniques such as Raman spectroscopy and SEM. Transitions from graphene nanoribbons to g-CNTs will be discussed, including current understanding of growth models for these materials in the plasma CVD environment.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
2:45 AM - W22.02
The Role of Contact Adhesion in Friction and Wear of Graphene under Sliding Conditions
Emil Sandoz-Rosado 1 Elon J Terrell 1
1Columbia University New York USA
Show AbstractLamellar atomically-thin sheets such as graphene (and its bulk equivalent graphite) and molybdenum disulfide (MoS2) have emerged as excellent solid lubricants at the macro scale and show great promise as protective coatings for nanoscopic applications. In particular, graphene&’s high intrinsic strength and thermal stability offer great advantages as a protective coating. However, if graphene&’s atomically-thin configuration is to be exploited for ultra-thin coatings, its nanotribological limitations have to be investigated. In this study, the failure mechanisms of graphene under sliding are examined using atomistic simulations. A 6nm diameter diamond tip is slid (at a controlled normal load) over a graphene monolayer that is adhered to a semi-infinite silicon substrate. The impact of tip adhesion on the wear and frictional behavior of graphene is studied by comparing two diamond tips, one of which has been hydrogen-passivated and the other which is bare carbon. By contrasting the passivated and unpassivated tips, the interplay of adhesive and abrasive wear on the graphene membrane can also be compared. The results of this work indicate that chemical bonding between the tip and the graphene greatly exacerbates tearing in the graphene monolayer. Even at extremely low loads, the integrity of the graphene is compromised due chemical bonding with the unpassivated tip. Bonding between the tip and the silicon substrate also contributes to sliding wear by plowing, or the buildup of silicon atoms under the tip which increases effective contact area and damages a wider path of the graphene. Conversely, the hydrogen-passivated tip does far less wear damage, indicating that long range Van der Waals forces are secondary contributors to wear in graphene monolayers under these conditions.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
3:00 AM - W21.03
Thermo-physical Behaviors of Carbon Nanofiber Reinforced Polylactic Acid
Ananta Raj Adhikari 1 Kamal Sarkar 2 Karen Lozano 2
1University of Houston Houston USA2University of Texas Pan American Edinburg USA
Show AbstractStudies have demonstrated that the reinforcement of polymeric matrices using nanofiller can results with better thermo-physical properties of polymer. Carbon nanofiber is a unique quasi-one dimensional nanostructure with large numbers of edges and defects compared to carbon nanotube. Further the availability in large quantity along with lower cost makes them an important nanomaterial for future technology. We have previously used carbon nanofiber in different thermoplastic polymers. In this study carbon nanofibers were used with water soluble thermoplastic aliphatic polyster polylactic acid and studied their thermal and mechanical properties. Thermal analysis using Thermogravimetric Analysis (TGA) showed enhanced thermal stability of the polymer at higher nanotube loading (>1 wt%) and decrease of thermal stability at higher loading (>10 wt%). Crystallization thermogram of polylactic acid was modified heavily with the addition of nanofibers changing clearly from one stage to two stage crystallization. The mechanical testing showed the steady increase of modulus of the composites with the nanofiber content within the range of study which can be regarded as due to the change in interface property of the composites.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
3:00 AM - W22.03
Investigating the Integration of Carbon Nanotubes to a Glass Fiber Reinforced Polymer Composite and Its Effects on Electromagnetic Shielding and Mechanical Properties
Mehran Tehrani 1 2 Tony Nelson 1 2 Majid Manteghi 3 Marwan Al-Haik 1 2
1Virginia Tech Blacksburg USA2Virginia Tech Blacksburg USA3Virginia Tech Blacksburg USA
Show AbstractTwo different routes for incorporating carbon nanotubes (CNTs) into glass fiber reinforced polymer composites are contrasted. The first approach utilizes the dispersion of CNTs into epoxy matrix while the second approach entails growing CNTs directly onto the fiber&’s surface. While the direct growth of CNTs requires exposure of fibers to elevated temperatures that are often detrimental to the fiber mechanical properties, it allows for placement of a uniform distribution and high volume fraction of un-agglomerated CNTs between the composite lamina. The achieved volume fraction is far larger than what can be effectively obtained when CNTs are dispersed and pre-mixed within the epoxy matrix. Using a new technique -graphitic structures by design (GSD) - carbon nanotubes were grown radially on the surface of glass fibers. This technique carries the premises of growing uniform CNTs at relatively low temperatures at pre-designated locations and thus eliminates the agglomeration and dispersion problems associated with incorporating CNTs in epoxy composites. Two-layered composite laminates based on fiber glass with surface grown CNTs were prepared. Composites with their epoxy matrices comprising different weight percentage of CNTs (below and above the electrical percolation threshold of epoxy) were also prepared. The electromagnetic interference (EMI) shielding effectiveness (SE) of different samples was measured over the frequency range of 3-15 GHz. Results indicated that the addition of high weight fractions of CNTs to the matrix epoxy (i.e. 3 wt%) improved the SE by 10 dB over the base raw fiber glass composite. The sample based on the GSD-grown CNTs outperformed the mixed CNT/epoxy reinforced fiber glass sample with electrically percolated matrix in terms of SE. The effects of the exposure to high temperatures, mixing CNTs with the matrix, and growing CNTs via GSD technique are contrasted on light of tensile tests. Per the tensile properties of different composite samples, the damage induced by the GSD thermal environment (550 °C in N2) was far less than that of alternative chemical vapor deposition methods (i.e. at least 700 °C in inert or air atmospheres). Some of this damage was lessened through the addition of a protective ceramic thermal-shield layer. Composites based on epoxy/CNT matrix retained their in-plane mechanical properties. Based on the findings of this study, GSD technique allows for grafting CNTs attached to the fibers. Hybrid multiscale composites based on CNTs/glass fiber carry the advantage of improved out of plane mechanical properties and the presence of CNTs can effectively annex all extraordinary properties of CNTs into the conventional fiber reinforced composites.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
3:15 AM - W21.04
The Role of Nanoparticle Synergies in Modifying Thermal Conductivity and Flame Retardancy of Polymer Blends
Kai Yang 1 Yichen Guo 1 Tom Butcher 2 Miriam Rafailovich 1
1SUNY-Stony Brook Stony Brook USA2Brookhaven National Lab Upton USA
Show AbstractMost of the polymers are using in nowadays have relatively low thermal conductivity compare to metal or some other materials. However, conventional gas-fired boilers or furnaces face the problem of the big amount of heat energy lost and the chemically harsh environment of the exit flut gas. We have developed a new nanoparticle where Resorcinol Diphenyl Phosphates (RDP) is used to modify the CNTs, allowing the CNTs particles to disperse within polymer. When multiple types of additives melt blended simultaneously and synergies can be achieved, imparting properties to the nanocomposite, which cannot be achieved by any single additive. Here we show that RDP modified CNTs, can be extruded together with Polyproplene, to produce flame retardant nanocomposites which can pass the UL-94-V0 test, and also the thermal conductivity was 1.1 W/m K, 10 times higher than the pure PP. TEM images of the blend show that the RDP-coated CNTs particle were well dispersed within the polymer matrix providing percolation to increase both thermal conductivity and flame retardancy.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
3:15 AM - W22.04
Graphene-based Environmental Barriers
Fei Guo 1 Gregory Silverberg 1 Sang-Pil Kim 1 Dibakar Datta 1 Vivek Shenoy 1 Robert H Hurt 1
1Brown University Providence USA
Show AbstractMany environmental technologies rely on containment by engineered barriers that inhibit the release of toxicants or their transport to areas where human exposure is likely. Graphene, as a new atomically thin two-dimensional sheet material, has an aspect ratio, chemical resistance, flexibility, and impermeability that make it a promising candidate for inclusion in a next generation of engineered barriers. Here we show that ultrathin graphene oxide (GO) films can serve as effective barriers for both liquid and vapor permeants. First, GO deposition on porous substrates is shown to block convective flow of liquid water at much lower mass loadings than other carbon nanomaterials, and can achieve hydraulic conductivities of 5×10-12 cm/s or lower. Second we use elemental mercury as a model toxicant, which is known to permeate commodity polymer materials and expose humans during the transport and recycling of mercury-containing wastes. We use a custom-designed mercury diffusion cell to measure the effect of ultrathin GO coatings on polymer substrates. We show that GO films of only 20 nm thickness coated on specially treated polyethylene films reduce the film vapor permeability by 90%. The barrier performance of GO in this thin-film configuration is much better than the Nielsen model limit, which describes ideal behavior of flake-like fillers uniformly imbedded in a polymer. The Hg barrier performance of GO films is found to be sensitive to residual water in the films. A combination of molecular dynamics simulations and analytical modeling shows that the leakage of Hg through wet films is consistent with tortuous diffusion of Hg atoms along graphene interlayer spaces that have been expanded by hydration.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
3:30 AM - *W21.05
Strategy of Graphene Synthesis for Electronic Device
Jae-Young Choi 1 Hyeon-Jin Shin 1
1Samsung Advanced Institute of Technology Youngin-si Republic of Korea
Show AbstractThe electronic properties of graphene sheets have recently attracted much experimental and theoretical research interest. A single graphene layer is a semimetal or zero-gap semiconductor, and has excellent electronic properties, such as high mobility (200 000 cm2 V-1 s-1), room-temperature quantum Hall effect, and high mechanical elasticity. In addition, high flexibility, optical transmittance, and chemical stability are additional technological advantages of single graphene layers. These superb characteristics open the way to new potential applications of graphene in flexible and transparent electronic devices. For these reasons, graphene growth related research has been dramatically developed since 2009. However, many problems are still remaining to realize ideal graphene with the properties mentioned above. Herein, we will discuss the current situation of graphene issue for electronic device. We introduce a facile synthesis method for transfer-free growth of graphene on an arbitrary substrate, and we demonstrate a chemical approach to modulate the electronic structure of graphene. As well as, we introduce for the first time the large-scale synthesis of high-quality hexagonal boron nitride (h-BN) nanosheets in a chemical vapor deposition (CVD) process for high-performance graphene electronics. We believe that our approaches should be useful for high-performance graphene application.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
3:30 AM - W22.05
Large Area Transparent Conducting Electrode with a Nanostructured Coating
Yan Yan Shery Huang 1 Eugene Terentjev 1
1University of Cambridge Cambridge United Kingdom
Show AbstractWe develop a new solution-based coating process, centrifuge coating, for the fabrication of nanostructured conductive layers over large areas. This coating procedure can be applied to nano- filamentous as well as sheet-like nanomaterials, with the added benefit of minimizing materials wastes resulting from particle re-aggregation. Alongside with fabrication improvement, a theoretical model is developed to account for the sheet resistance exhibited by layered random-network coatings such as nano-filaments and graphene; in particular, it allows the correlation of the commonly observed scaling regimes to the coating microstructure. We also show a refined setup which allows the determination of curvature- dependent sheet resistance. This simple setup can be used to minimize the discrepancy in the measured electromechanical performance for flexible electronics.
3:45 AM - W22.06
Highly Stretchable Elastomer with Improved Dielectric Properties Using Single-walled Carbon Nanotube and Ionic Liquid
Kiwon Oh 1 Jang Yeol Lee 1 Min Park 1 Daeheum Kim 2 Heesuk Kim 1
1KIST Seoul Republic of Korea2Kwangwoon University Seoul Republic of Korea
Show AbstractDielectric properties of polymer composites have been examined for dielectric elastomer actuators, high-gate dielectric for flexible electronics, and capacitors for energy storage. A large number of elastomer materials including polydimethylsiloxane (PDMS), polyurethanes, and acrylonitrile-butadiene copolymer have been intensively studied as proper candidates for the various applications, particularly artificial muscles, due to the ability to mimic the natural muscles with their outstanding mechanical properties. Among them, the PDMS have the advantage of lower viscoelasticity than other elastomer such as acrylic films and modest actuation strain over a wide temperature range. However, the PDMS has suffered from a poor dielectric behavior and also have been required to enhance mechanically stretchable properties which could be quite beneficial for practical applications. In this work, we firstly report an effective fabrication method for a series of PDMS based nanocomposite with enhanced dielectric properties as well as high stretchable behaviors, through introduction of ionic liquid (IL) as an exfoliation agent and plasticizer of PDMS matrix at the same time. We employed SWNT highly exfoliated by using an IL as a dielectric filler and mixed with PDMS to form highly stretchable elastomer nanocomposite (IL-SWNT/PDMS nanocomposite). For comparison, composites without IL (p-SWNT/PDMS) were also prepared. With the same content of SWNTs, the composites with IL (IL-SWNT/PDMS) show a higher dielectric constant and lower dielectric loss than p-SWNT/PDMS composites. Specifically, the dielectric constant at 100 Hz of IL-SWNT/PDMS composite was twice higher than that of p-SWNT/PDMS composite, and the dielectric loss was lower than five times the p-SWNT/PDMS composite. In addition, the elastic moduli of the composite significantly decreased, and the strain at break increased by the effects of IL which can act as a plasticizer. To the best of our knowledge, we demonstrate for the first time the feasibility of using IL as both exfoliation agent and plasticizer to improve the dielectric properties and mechanical properties at the same time.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
4:15 AM - W22.07
Mechanical and Transport Properties of Individual Multi-walled Carbon Nanotubes and Their Highly Concentrated 3D Macrostructure Embedded in an Oxide Ceramic Environment
Mehdi Estili 1 Yoshio Sakka 1 Akira Kawasaki 2
1National Institute for Materials Science (NIMS) Tsukuba Japan2Tohoku University Sendai Japan
Show AbstractMulti-walled carbon nanotubes (MWCNTs) with exceptional load-bearing abilities, transport property and large aspect ratio can be considered as an alternative additive, in theory, to improve mechanical and transport property of conventional ceramic-based materials. In practice, combinations of high temperatures and surface-functionalization procedures are required to achieve a uniform dispersion of individual MWCNTs within a ceramic-based material with intimate interfaces, which perhaps, could potentially lead to defect and pore formation, CNTs structural evolution upon cooling (e.g., radial compression) and even reaction with the host ceramic matrix. Therefore, the outstanding intrinsic properties of MWCNTs after incorporation into a ceramic-based material could be dramatically different form those observed in vacuum or predicted in theory. Understanding the performance of individual MWCNTs or their macrostructure, when embedded in a ceramic environment, is therefore a vital prerequisite to design and manufacture of advanced CNT-reinforced ceramic matrix composites. Here, we demonstrate how, using a scalable aqueous colloidal approach and spark plasma sintering, we incorporated uniformly dispersed, individual MWCNTs into an α-alumina ceramic environment with intimate interfaces, and studied the load-bearing ability of individual MWCNTs in tensile [1] and combined tensile-bending [2] loading modes using a novel method, in which a nano-manipulator and an electron microscope were simultaneously employed. We discovered that the individual MWCNTs become dramatically stronger by an inter-wall load distribution mechanism and undergo multi-wall failure [1], and that even MWCNTs with large deflections are an exceptional reinforcement for alumina-based nanocomposites [2]. Furthermore, we fabricated the most concentrated 3D macrostructure (20.0 vol.%) of individual MWCNTs ever realized in a ceramic environment (with intimate interfaces) and propose a new concept: “highly concentrated, 3D macrostructure of individual CNTs in a ceramic environment” [3]. This concept dramatically improves not only the transport property and network connectivity of MWCNT 3D macrostructure (electrical conductivity of ~5000 S/m) but also the strain tolerance of the ceramic environment without deteriorating its strength and toughness. This recent study could, in principle, stimulate multidisciplinary applied research on “MWCNT-concentrated ceramics”, which are attractive for various functional and structural applications, and that open the doors for massive and sustainable utilization of low-cost MWCNT nanostructure. [1] M. Estili and A. Kawasaki, Advanced Materials, 22, 607 (2010). [2] M. Estili, A. Kawasaki, Y. Pittini-Yamada, I. Utke and J. Michler, J. Mater. Chem. 21, 4272 (2011). [3] M. Estili, A. Kawasaki, Y. Sakka, Advanced Materials, in press, DOI: 10.1002/adma.201201134
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
4:30 AM - W21.06
Thermal Conductivity of Graphene as a Function of Strain, Isotopic Disorder and Functionalization
Luiz Felipe C. Pereira 1 Davide Donadio 1
1Max Planck for Polymer Research Mainz Germany
Show AbstractGraphene's remarkable heat transport properties make it a strong candidate to applications in future thermal management devices. At room temperature the thermal conductivity of graphene is dominated by phonons and values as large as 5000 W/m-K have been measured for suspended sheets at room temperature. Nonetheless, in order to build functional devices it is necessary to be able to manipulate and tailor these properties, which requires a deep understanding of the behavior of phonons in graphene. We perform extensive equilibrium molecular dynamics simulations aimed at understanding the mechanism of heat transport in suspended graphene in various conditions. Studying size convergence we demonstrate that low-frequency out-of-plane vibrational modes act as scatterers and limit the thermal conductivity to a large but finite value. We then show that the thermal conductivity of an extended periodic graphene model under uniaxial tensile strain diverges. This behavior stems from strain-induced changes in the dispersion relations and population of low-frequency phonon modes. Divergence would lead to a strong size dependence of experimental measurements of thermal conductivity. Finally, in view of the recent fabrication of graphene with predefined concentrations of carbon isotopes and of functionalized graphene, we investigate the effect of isotopic mass disorder and functionalization on the thermal conductivity of unstrained and strained graphene.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
4:30 AM - W22.08
Structure-property Relationships in Novel Silicone-carbon Nanotube Aerogel Composites, Solid State NMR and Dielectric Spectrometry Investigations
James P Lewicki 1 Marcus A Worsley 1 Stephen J Harley 1 Michael J Ashmore 1 Theodore S. Baumann 1 Robert S. Maxwell 1
1Lawrence Livermore National Lab Livermore USA
Show AbstractSingle walled carbon nanotubes (SWCNTs) possess a number of intrinsic properties that, if realized in macroscopic form, would have a significant impact on a wide range of technologies. These properties include electrical conductivities as high as 106 S m-1, thermal conductivities as high as 3000 W m-1 K-1, elastic moduli on the order of 1 TPa and extreme flexibility. As a result of recent advances in this field (1) it is now possible to synthesize ultra-lightweight CNT-carbon areogel networks which posses an extremely high surface to volume ratio and are highly electrically conductive. These novel architectures can be intercalated with a curable polymer matrix (such as PDMS) to yield highly conductive elastomers with greatly improved mechanical properties. Here we report the synthesis and characterization of a series of novel poly(dimethylsiloxane)/carbon nanotube-areogel (PDMS-CN-CA) composite elastomer systems. These systems represent ordered ‘soft-solid&’ materials with a hierarchical structure over a range of size scales that give rise to their unique properties. In order to characterize these complex and somewhat intractable systems, we have employed a combination of Solid State NMR (Double quantum (DQ) and spin diffusion NMR techniques) in conjunction with dielectric relaxometry analysis in order to probe the influence of the areogel framework and reinforcing nanotube skeleton on the motional dynamics and diffusional properties of the polymeric elastomer network. Through studying the motional and confinement effects in areogel/CNT-polymeric hybrid soft-solids, we seek to build a fundamental new understanding of the relationship between the structural architecture of a matrix material and its resultant mesoscopic properties. The findings of this work represent a step towards the development of future generations of materials, tailored for application to fields such as super-capacitor R&D, flexible organic electronics, next generation solar cell technologies and will inform current models of these poorly understood yet important new class of hybrid soft-solids. (1)Marcus A. Worsley, Peter J. Pauzauskie, Sergei O. Kucheyev, Joseph M. Zaug, Alex V. Hamza, Joe H. Satcher Jr. and Theodore F. Baumann Acta Materialia 57 (2009) 5131-5136 This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
4:45 AM - W21.07
Coefficient of Thermal Expansion of Few-layered Graphene Structures
Virgilio J Caetano 1 Alexandre F Fonseca 2
1Universidade Federal Fluminense Volta Redonda Brazil2UNESP - Univ Estadual Paulista Bauru Brazil
Show AbstractThe superior electronic, mechanical and thermal properties of graphene structures have motivated intense research on several applications of this material to the development of new special electronic devices. One of these properties is the graphene linear coefficient of thermal expansion (LCTE) that was recently demonstrated to be negative at room temperature, and, in modulus, larger than that of graphite [1-3]. Theoretical calculations for graphene LCTE obtained from DFT [4], Monte Carlo [5] and Nonequilibrium Green&’s function [6] approaches vary from half to a little bit smaller than the experimental value [1]. However, a recent report using the second generation Reactive Empirical Bond Order (REBO) molecular dynamics potential [7] estimated the graphene LCTE one order of magnitude larger [8] than the experimental value. In this work, using the second generation of REBO potential, we have found about half the experimental value of LCTE for the monolayer graphene, thus in good agreement with the theoretical estimations of Refs. [4] and [5]. We also performed molecular dynamics simulations to study the LCTE of bi-, tri-, four- and five-layered graphene structures and investigate how the in-plane lattice parameter and carbon-carbon bond distance vary with temperature. Our results corroborate a recent study [9] showing that the lattice parameter (carbon-carbon bond distance) decreases (increases) with the temperature. In view of the main contribution of low frequency phonon vibrations to the LCTE at low temperature, we also investigated the dependence of the graphene LCTE results with sample size. We discuss and compare the results with those present in literature. [1] W. Bao, F. Miao, Z. Chen, H. Zhang, W. Jang, C. Dames and C. Ning Lau, Nature Nanotechnology 4, 562 (2009). [2] V. Singh, S. Sengupta, H. S Solanki, R. Dhall, A. Allain, S. Dhara, P. Pant and M. M Deshmukh, Nanotechnology 21, 165204 (2010). [3] D. Yoon, Y. -W. Son and H. Cheong, NanoLetters 11, 3227 (2011). [4] N. Mounet and N. Marzari, Phys. Rev. B 71, 205214 (2005). [5] K. V. Zakharchenko, J. H. Los, M. I. Katsnelson and A. Fasolino, Phys. Rev. B 81, 235439 (2010). [6] J. -W. Jiang, J. -S. Wang and B. Li, Phys. Rev. B 80, 205429 (2009). [7] D. W. Brenner, O. A. Shenderova, J. A. Harrison, S. J. Stuart, B. Ni and S. B. Sinnot, J. Phys. Condens. Matter 14, 783 (2002). [8] M. Neek-Amal and F. M. Peeters, Phys. Rev. B 83, 235437 (2011). [9] M. Pozzo, D. Alfè, P. Lacovig, P. Hofmann, S. Lizzit and A. Baraldi, Phys. Rev. Lett. 106, 135501 (2011).
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
4:45 AM - W22.09
Interfacial Load Transfer in Carbon Nanotube/Ceramic Microfiber Hybrid Polymer Composites
Noa Lachman 1 2 Erica Wiesel 1 Roberto Guzman de Villoria 2 3 Brian L. Wardle 2 H. Daniel Wagner 1
1Weizmann Institute of Science Rehovot Israel2Massachusetts Institute of Technology Cambridge USA3IMDEA Materials Institute, C/ Profesor Aranguren s/n Madrid Spain
Show AbstractGrowing carbon nanotubes (CNTs) on the surface of fibers has the potential to modify fiber-matrix interfacial adhesion, enhance the composite delamination resistance, and possibly improve its toughness and any matrix-dominated elastic property as well. In the present work aligned CNTs were grown upon ceramic fibers (silica and alumina) by chemical vapor deposition (CVD) at temperatures of 650°C and 750°C. Continuously-monitored single fiber composite (SFC) fragmentation tests were performed on pristine as well as on CNT-grown fibers embedded in epoxy. The critical fragment length, fiber tensile strength at critical length, and interfacial shear strength were evaluated. Significant increases (up to 50%) are observed in the fiber tensile strength and in the interfacial adhesion (which was sometimes doubled) with all fiber types upon which CNTs are CVD-grown at 750°C. We discuss the likely sources of these improvements as well as their implications.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
5:00 AM - W21.08
Electron Cooling Mechanisms in Graphene
Samuel M. Hornett 1 Adam S. Price 1 Andrey V. Shytov 1 Euan Hendry 1 David W. Horsell 1
1University of Exeter Exeter United Kingdom
Show AbstractGraphene shows great potential for future electronic devices due to its high carrier mobility and thermal conductivity [1, 2]. An important consideration is thermal cooling of charge carriers that are overheated by the current applied to operate the device. This heat must be dissipated to avoid thermal breakdown of the graphene sheet [3]. The main cooling mechanisms are (1) direct transfer of heat to the metallic contacts forming the source and drain of the device via diffusion of electrons [4], (2) transfer of heat to the graphene lattice via scattering of electrons by acoustic phonons of the graphene sheet [5], and (3) transfer of heat directly to the underlying substrate via scattering of electrons by surface mode phonons of the substrate [6]. We use transport measurements to probe the energy dissipation mechanisms in monolayer graphene devices supported on silicon dioxide. The differential resistance as a function of an applied DC source-drain voltage is shown to become increasingly non-linear as the temperature of the surrounding system is decreased from 300K down to 4K. By combining numerical modeling of the different power dissipation mechanisms with measurements of the temperature dependent differential resistance, we identify the observed non-linearity as being due to the increased temperature of electrons. Most importantly, it is shown that the coupling between electrons and surface phonons of the substrate provides the dominant cooling mechanism. [1] S. V. Morozov, et al., Phys. Rev. Lett. 100, 016602 (2008) [2] D. L. Nika E. P. Pokatilov, A. S. Askerov, and A. A. Balandin, Phys. Rev. B 79 , 155413 (2009) [3] E. Pop, Nano. Res. 3 , 147 (2010) [4] V. I. Kozub, A. M. Rudin, Phys. Rev. B 52 , 7853 (1995) [5] A. A. Balandin et al., Nano Letters 8 , 902 (2008) [6] M. Freitag et al., Nano Letters 9, 1883 (2009)
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
5:00 AM - W22.10
Erosive Wear Resistance of Carbon Nanotube Reinforced Epoxy Composites
Jinhu Chen 1 Krzysztof Koziol 1
1University of Cambridge Cambridge United Kingdom
Show AbstractCarbon nanotubes (CNTs) with outstanding stiffness and strength as well as their intrinsic self-lubricant properties make them very promising component for achieving good wear resistance in polymer composites. In this paper, the solid particle erosion behaviour of CNT reinforced epoxy composites has been investigated. Two types of CNT morphologies were used: unidirectional CNT films synthesized via direct-spinning CVD process and vertically aligned CNT arrays produced using the substrate-growth CVD method. The composite samples were prepared using two different methods: the casting and vacuum bagging. The erosive wear testing of these composites was carried out at four impingement angles (20°, 30°, 45° and 90°) by using a centrifugal acceleration erosion tester. The erodent particles used were the olivine sand with the median grain size (D50) of 238µm and the impact velocity of 40m/s. The erosion rate of the composites was calculated by measuring the changes in the mass loss of the samples after every testing cycle. The results show that the erosive wear performance of those composites is affected by the orientation and the loading fraction of CNTs within the epoxy matrix. CNT vertically aligned arrays/epoxy composites demonstrate the best erosion resistance compared to those with homogeneously dispersed CNTs and multi-layered unidirectional CNT films. At 20° impingement angle, this type of composite reaches the same erosion rate as that of the steel material, which is an exciting development for polymer composites. Besides, unidirectional CNT-film/epoxy composite shows ductile erosion behaviour and its resistance to erosion is superior to that of equivalent composite sample with T300 carbon fibre (CF) which is widely used by the industry. It is also worth noting that brittle erosion behaviour has been investigated on CF/epoxy composite as its erosion rate increases with the increasing impingement angle, and the CF reinforcement has been found deteriorating the erosion resistance of epoxy to a considerable extent. To understand the erosive wear mechanism, surface profilometry and SEM analysis have been carried out. Interestingly, average surface roughness values (Ra) of eroded CNT vertically aligned arrays/epoxy composites are closely correlated with low erosion rates (impingement angle of 20° and 90°) and high erosion rates (impingement angle of 30° and 45°). SEM analysis further provides the insight into the erosion mechanism and in particular the CNTs role within the epoxy matrix.
W21: Graphene and Carbon Nanotubes: Thermal Properties and Applications
Session Chairs
John Boeckl
Krzysztof Koziol
Friday PM, November 30, 2012
Hynes, Level 3, Room 309
5:15 AM - W21.09
Pseudonegative Thermal Expansion and Water in Graphene Oxide
Jian Zhu 1 Christine M. Andres 1 Jiadi Xu 2 Ayyalusamy Ramamoorthy 2 Thomas Tsotsis 3 Nicholas A. Kotov 1
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA3The Boeing Company Huntington Beach USA
Show AbstractUnraveling the complex interplay between thermal properties and hydration is a part of understanding the fundamental properties of many soft materials and very essential for many applications. Here we show that graphene oxide (GO) demonstrates a highly negative thermal expansion (NTE) coefficient owing to unique thermohydration processes related with fast transport of water between the GO sheets, amphiphilic nature of nanochannels, and close-to-zero intrinsic thermal expansion of GO. The humidity-dependent NTE of GO assemblies, or "pseudonegative thermal expansion” (PNTE), differs from other hygroscopic materials due to its relatively fast and highly reversible expansion/contraction cycles, and occurrence at low humidity levels while bearing similarities to classic NTE. Thermal expansion of polyvinyl alcohol/GO composites is easily tunable with additional intricacy of thermohydration effects. PNTE combined with isotropy, nontoxicity and mechanical robustness is an asset for applications of actuators, sensors, MEMS devices and memory materials, and crucial for developing methods of thermal/photo patterning of GO devices.
W22: Functional Composites
Session Chairs
Friday PM, November 30, 2012
Hynes, Level 3, Room 311
5:15 AM - W22.11
Molecular Transport through Porous Graphene Membranes
Sean C O'Hern 1 Cameron A Stewart 1 Michael S H Boutilier 1 Jongho Lee 1 Tarun Jain 1 Juan-Carlos Idrobo 2 Tahar Laoui 3 Motaz Atieh 3 Rohit Karnik 1
1Massachusetts Institute of Technology Cambridge USA2Oak Ridge National Laboratory Oak Ridge USA3King Fahd University of Petroleum and Minerals Dhahran Saudi Arabia
Show AbstractGraphene is an atomically thin, mechanical robust sp2-bonded carbon material with the capacity to contain stable nanometer-scale pores. By suspending large-area graphene over a porous support, these properties can be exploited to create a highly efficient filtration membrane useful in both liquid and gas-phase separation processes. Here, we report the size-selective transport of molecules through nanometer-scale pores in graphene membranes created by first transferring sim;1 cm2 of low pressure CVD graphene from copper foil to a polycarbonate track-etch membrane using a direct and dry pressing procedure then introducing controlled holes through ion bombardment followed by chemical etching. Through diffusion measurements, we found these holes allow the transport of small molecules, such as potassium chloride, yet reject the transport of larger organic dye molecules. Our results demonstrate that controlled holes generated in graphene are highly-selective, may be optimized for different applications, and useful for liquid-phase separation processes. This work was funded by King Fahd University of Petroleum and Minerals in Dhahran, Saudi Arabia through the Center for Clean Water and Clean Energy at MIT and KFUPM under project number R10-CW-09. Additional support was provided by Oak Ridge National Laboratory&’s Shared Research Equipment (ShaRE) User Facility (JCI), which is sponsored by the Office of Basic Energy Sciences, U.S. Department of Energy. This research was performed in part at the Center for Nanoscale Systems (CNS), a member of the National Nanotechnology Infrastructure Network (NNIN), which is supported by the National Science Foundation under NSF award no. ECS-0335765. CNS is part of Harvard University. This research was also performed in part at the Center for Materials Science and Engineering at MIT.
5:30 AM - W22.12
Strong, Stiff, Conductive, Lightweight Fibers of ``Short" Carbon Nanotubes
Natnael Behabtu 1 Colin C. Young 1 Dmitri E. Tsentalovich 1 Olga Kleinerman 2 Xuan Wang 1 Anson W. K. Ma 1 E. Amram Bengio 1 2 Ron F. ter Waarbeek 3 Jorrit J. de Jong 3 Ron E. Hoogerwerf 3 Steven B. Fairchild 4 John B. Ferguson 4 Benji Maruyama 4 Junichiro Kono 1 Yeshayahu Talmon 2 Yachin Cohen 2 Marcin J. Otto 3 Matteo Pasquali 1
1The Smalley Institute for Nanoscale Science and Technology, Rice University Houston USA2Technion and Russel Berrie Nanotechnology Institute Technion Israel3Teijin Aramind Arnhem Netherlands4Air Force Research Laboratory Wright Patterson Air Force Base USA
Show AbstractSince their discovery 20 years ago, carbon nanotubes (CNTs) have held great promise for lightweight multifunctional materials. So far, this promise has gone unfulfilled because of difficult material synthesis and laborious processing. Here we report high-performance multi-functional CNT fibers that combine the specific strength, stiffness, and thermal conductivity of carbon fibers with the specific electrical conductivity of metals. These fibers consist of bulk-grown CNTs and are produced by high-throughput wet spinning. This combination of superior multiple functionality and industrially scalable manufacturing enables a new area of material design.
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
9:00 AM - W18.01
Atomistic and Coarse-grain Modeling of Cooperative Deformation of Carboxyl Groups in Functionalized Carbon Nanotubes
Arun Nair 1 Zhao Qin 1 Markus Buehler 1 2 3
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractFunctionalized carbon nanotubes have tremendous potential for nanotechnology applications such as in the fabrication of polymeric carbon fibers. However, approaches to design carbon nanotube (CNT) structures by using functional groups as ‘glue&’ and carbon nanotubes as stiff ‘backbone&’, aimed at enabling superior mechanical strength and toughness at the fiber level with limited amount of materials, remains poorly understood. Inspired by the outstanding mechanical properties of spider silk, here we present a bio-inspired structural model of carbon nanotube based fibers connected by weak hydrogen bonds (H-bonds) formed between functional carboxyl groups as the molecular interface. We present a systematic study of the deformation of H-bonds in functional groups, and assess how it is affected by the structural organization of the carboxyl groups as well as by the geometry of constituting carbon nanotubes. We perform full atomistic simulations using the first principles based ReaxFF force field to show how the grouping of functional groups can significantly improve the interface mechanical properties of CNTs. We also present a coarse-grain model, where the parameters of the model are derived from our atomistic studies, applied to study CNTs of larger diameters and lengths. The analysis of H-bond deformation fields from the coarse-grain model is used to compute the extent of significant deformation of inter-CNT bonds, defining a region of cooperativity. The cooperativity of deformation is used as a measure for the utilization of the chemical bonds facilitated by the functional groups. We find that for ultra-small diameter CNTs below 1 nm the external force deforms H-bonds significantly only within a relatively small region on the order of a few nanometers. We find that the mechanical properties of carbon nanotube fibers are affected by the organization of H-bonds in functional carboxyl groups. Both, the grouping of functional groups into clusters, and a specific variation of the clustering of functional groups along the CNT axis are shown to be potential strategies to improve the cooperativity of deformation. This allows for a more effective utilization of functional groups and hence, larger overlap lengths between CNTs in fibers. The effect of structural organization of functional groups is not only significant in very small diameter CNTs, but also in larger diameter CNTs as they are most commonly used for engineering applications.
9:15 AM - W18.02
Characterizing Graphene-fullerene Interactions: A Density Functional Theory Study
Slimane Laref 1 Abu Asaduzzaman 1 Warren Beck 2 Ludwik Adamowicz 3 Delmar Barker 2 Pierre Deymier 1 Krishna Muralidharan 1
1University of Arizona Tucson USA2University of Arizona Tucson USA3University of Arizona Tucson USA
Show AbstractLow-dimensional carbon nanostructures such as single-sheet graphene (SLG), fullerenes (C60) and carbon nanotubes (CNT) exhibit interesting thermal, mechanical and electronic properties. In this context, the ability to synthesize hybrid 3-D carbon nanostructures using SLG, CNT and C60 as building blocks, can lead to the realization of novel materials with tunable structure-property relations and further the current boundaries of technological innovation. Towards this end, as an important first step towards optimizing experimental conditions necessary for the synthesis of 3-D carbon superlattices, we undertake rigorous density functional theory (DFT) calculations to examine chemical reactivity between fullerenes and SLG. Specifically, using parameterized semi-empirical functionals to accurately describe dispersive forces that arise in these systems, we calculate the binding energies and kinetic barriers as well as geometric conformations that characterize the chemical interactions of pristine and defected SLG with C60 molecules. A notable conclusion of this work is that unlike with pristine SLG, C60 binds very strongly to the SLG in the presence of a single-vacancy, which we attribute to local alteration of the electronic hybridization from πminus;π* to sp3 states at the binding site.
9:30 AM - W18.03
Analyzing Diffusion of Oxygen through Monolayers and Stacked-monolayers of Graphene
Susmit Singha Roy 1 Michael S. Arnold 1
1University of Wisconsin - Madison Madison USA
Show AbstractGraphene has the potential to be an ideal diffusion barrier, impervious to species as small as atomic He due to its short 1.42 Å C—C bond distance. This unique property inherently makes graphene and graphene based materials an attractive choice for applications like corrosion inhibition of reactive/refined metals, lifetime enhancement of organic photovoltaic devices (OPV), and ultrahigh sensitive gas detection. However, in reality, graphene materials have defects and grain boundaries, which provide spurious transport pathways that limit barrier performance. In order to better understand transport pathways through real-world graphene materials grown via chemical vapor deposition (CVD), we have spatially mapped oxygen transport through large-area (3 cm x 3 cm) graphene membranes grown on Cu foils, using scanning electron and Raman microscopy. The Raman maps illustrate that the underlying Cu metal locally oxidizes underneath grain boundaries in the overlying graphene, suggesting that the primary mode of oxygen transport through the graphene is via grain boundaries. We show that the net transport through the grain boundaries scales with the grain boundary density and that this mode of leakage can be minimized by tailoring the inter-nucleation distance during CVD. We furthermore demonstrate that grain boundary transport can be substantially reduced by independently growing separate membranes of graphene and subsequently stacking them together. Transport through two separately grown layers of graphene is reduced to a set of points corresponding to the intersection of the grain boundaries of each layer, and transport through four layers of independently grown graphene is reduced substantially due to a lack of line-of-sight pathways. Specifically, our studies show that the spatially averaged rate of oxidation of a Cu substrate is reduced by a factor of 11 when covered by a single layer of graphene. Upon stacking two layers and four layers of graphene, this rate is further reduced by a factor of 7 and 32, respectively. We believe that our study will help in providing crucial insights for tailoring high quality diffusion barriers using graphene atomic membranes.
9:45 AM - *W18.04
Adsorption and Diffusion of Oxygen on Graphene in the Presence of Structural Defects
Faisal Mehmood 1 Ruth Pachter 1 Weijie Lu 1 John J Boeckl 1
1Air Force Research Laboratory Wright-Patterson Air Force Base USA
Show AbstractStructural in-plane defects in graphene have been a topic of growing interest because of the potential for selective engineering, or importantly in order to understand their influence on various properties. Incorporation of oxygen in graphene has also drawn much attention, for example, although graphene oxide can be reduced to achieve graphene-like properties, these materials have remnant oxygen atoms and moreover result in defective graphene (DG), with characterized topological defects. In addition, for example, in high-temperature growth of low-dimensional carbon nanostructures on SiC(0001), oxygen seems to play a role, possibly leading to further out-of-plane deformation of the DG structure, which is already subject to some compressive strain. However, although interaction of graphene with oxygen moieties was investigated, the presence of defects was not studied in detail. In this work, to gain an understanding of the effects of atomic and molecular oxygen within DG, single and double-vacancy, Stone-Wales, 555-777 and 5555-6-7777 defects were examined. Density functional theory calculations were performed, also with a London dispersion corrected functional. The stability of defects considered in the DG structures as compared to pristine graphene will be discussed, also regarding differences upon adsorption of oxygen moieties. Oxygen diffusion on graphene is of interest because of the possibility of spillover and migration from the edges, as well as due to oxygen&’s possible dissociation on the carbon surface. Activation energy barriers for oxygen diffusion pathways were examined around defect sites with the climbing-image nudged elastic band method, and differences in mobility in comparison to pristine graphene will be reported.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
9:45 AM - W19.01
Conductance Mapping of Large-area Graphene: New Light on Defects
Dirch Hjorth Petersen 1 Jonas D. Buron 2 Peter Boggild 1 David G. Cooke 3 Michael Hilke 3 Jie Sun 4 Eric Whiteway 3 Peter F. Nielsen 5 Ole Hansen 1 6 August Yurgens 4 Peter U. Jepsen 2
1Technical University of Denmark Kgs. Lyngby Denmark2Technical University of Denmark Kgs. Lyngby Denmark3McGill University Montramp;#233;al Canada4Chalmers University of Technology Gothenburg Sweden5Capres A/S Kgs. Lyngby Denmark6Technical University of Denmark Kgs. Lyngby Denmark
Show AbstractWe combine three independent sheet conductance mapping techniques for detailed characterization of single layer graphene at different length-scales (lambda;), providing a consistent methodology for evaluating the electrical conductance and electrical defect distribution of large-area graphene. Whereas terahertz time-domain spectroscopy (THz-TDS) maps the nanoscale conductance (lambda; ~ 10-100 nm) averaged over the beam spot size, spreading resistance probe (SRP) maps the sub-mu;m local conductance (lambda; ~ 100-1000 nm) and variable pitch micro four-point probe (M4PP) the micro-scale conductance (lambda; ~ 1-100 mu;m). These three inherently different sheet conductance mapping techniques have been applied for detailed characterization of centimeter-scale single layer graphene, grown by copper catalyzed CVD technique and transferred onto Si substrates coated with 90 nm SiO2. With more than 4000 individual measurement positions measured by each method we are able to conduct a statistical correlation analysis for the three techniques involved. We find a qualitative good agreement between the mean sheet conductance values measured with the three different techniques in areas of the graphene film that appear free from optically visible damage. However, in certain areas of the graphene film the measured sheet conductance, GS, is dependent on the measurement technique such that GS,M4PP < GS,SRP < GS,THz. Evidently this must be related to mu;m-scale and sub-mu;m-scale defects for which the M4PP and SRP methods are sensitive, respectively. This is supported by geometrical sample analysis possible with M4PP dual configuration measurements. From the four-point resistance ratio RA/RB (where the RA configuration is defined as I:V:V:I and RB as I:V:I:V), it is possible to distinguish between samples, where the current transport is essentially 1D and 2D, respectively. We show that for a continuous graphene film without defects or with defects, that have a spatial extend far smaller than the electrode pitch, the sample should behave as a 2D conductive film with RA/RB=1.265, whereas a highly damaged but still coherent film will give exactly RA/RB=1. And this result is experimentally verified with great accuracy in our measurements. The discovery has the intriguing consequence that a statistical comparison of sheet conductance measured at different length-scales with the geometrical "foot-print" extracted from the RA/RB ratio allows for direct, parameter free evaluation of both the defect density and the characteristic length scale of the defects.
10:00 AM - W19.02
Synthesis, Characterization, and Properties of Single-crystal Monolayer and Bilayer Graphene
Yufeng Hao 1 Lei Wang 2 James Hone 2 Luigi Colombo 3 Rodney S Ruoff 1
1The University of Texas at Austin Austin USA2Columbia University New York USA3Texas Instruments Incorporated Dallas USA
Show AbstractThe discovery of graphene growth on Copper by Chemical Vapor Deposition (CVD) has led to large area (close to square meters) polycrystalline films. In this presentation, based on the understanding we have garnered about the growth mechanism by controlling various growth parameters, such as temperature, hydrocarbon partial pressure, growth time, and impurities in the Copper substrate, progress on the controlled growth of large crystal graphene has been achieved: (1) hexagonal shaped and single-crystalline millimeter-size graphene domains have been synthesized, that show ‘good&’ electrical quality, comparable to exfoliated graphene. (2) Continuous bilayer graphene film over 200 micrometers in lateral size has been grown on Cu substrates with more than 85% of the bilayer Bernal stacked. Electrical transport property measurements also show carrier mobility of over 60,000cm2v-1s-1 and a bandgap of around 70meV when transferred onto hexagonal Boron Nitride substrates. Acknowledgement: We appreciate support from the ONR, W. M. Keck Foundation, and SWAN NRI.
W20/BB11: Joint Session: Recent Advances in Acoustic Applications of Carbon Nanomaterials
Session Chairs
Friday AM, November 30, 2012
Hynes, Level 2, Room 207
10:00 AM - W20.01/BB11.01
Ultrafast Relaxation Dynamics via Acoustic Phonons in Carbon Nanotubes
Olga Dyatlova 1 Christopher Koehler 2 Ermin Malic 2 Jordi Gomis-Bresco 1 Janina Maultzsch 3 Andrey Tsagan-Mandzhiev 1 Tobias Watermann 4 Andreas Knorr 2 Ulrike K. Woggon 1
1TU Berlin Berlin Germany2TU Berlin Berlin Germany3TU Berlin Berlin Germany4FU Berlin Berlin Germany
Show AbstractDesigning and engineering of novel carbon nanotube-based optoelectronic devices needs a thorough microscopic understanding of the ultrafast relaxation dynamics of non-equilibrium charge carriers. From theoretical work, it is known that the scattering via Coulomb interaction and optical phonons takes place on a femtosecond timescale (e.g. [1]). The contribution of acoustic phonons, however, has not been investigated yet. In this work, we perform two-color pump-probe experiments to determine the decay behavior of (8,7), (10,2), (11,3), and (12,1) nanotubes [2]. The E11 and E22 transition energies of these tubes were assigned within photoluminescence excitation spectroscopy. To excite excitons resonantly, pump pulses with energies corresponding to the E22 transition energy of the studied tubes are chosen. The exciton energies are strongly depending on the dielectric screening which has be taken into account in our experiments. The dynamics of the differential transmission (DT) signal is measured with weak probe pulses at energies corresponding to the E11 transition energy of the same tube and three different decay times are found: the fastest decay t1 is in the range between 6 and 15 ps followed by a slower component t2 around 50-100 ps, and the slowest component in the nanosecond range. We perform microscopic calculations based on density matrix formalism. We resolve the non-equilibrium carrier relaxation in momentum and time by deriving Boltzmann equations driven by scattering of electrons with acoustic phonons. To model the described pumpminus;probe experiment, we create a nonequilibrium carrier distribution via optical excitation of charge carriers into the second conduction subband corresponding to the E22 transition. The numerical calculations give relaxation times of few picoseconds, which are in good agreement with the fast exponential decay t1, obtained in the experiment. Additionally, the theoretical calculations result in a decrease of the relaxation time with decreasing tube diameter [2]. This predicted diameter dependence of the picosecond relaxation time is a very interesting result that should stimulate further experimental and theoretical investigations. [1] M. Hirtschulz, E. Malic, F. Milde and A. Knorr, Excitation-induced dephasing and ultrafast intrasubband relaxation in carbon nanotubes, Phys.Rev. B 80, 085405 (2009). [2] O.A. Dyatlova, C. Koehler, E. Malic, J. Gomis-Bresco, J. Maultzsch, A. Tsagan-Mandzhiev, T. Watermann, A. Knorr, U. Woggon, Ultrafast Relaxation Dynamics via Acoustic Phonons in Carbon Nanotubes, Nano Lett. 12, 2249 (2012).
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
10:15 AM - *W18.05
In Quest for Models of sp2-carbon Growth, Polycrystallinity, and Functionalization
Boris I. Yakobson 1
1Rice U Houston USA
Show AbstractRecent developments in quantitative modeling will be discussed for (i) growth of carbon nanotubes, with the emphasis on chirality, its kinetic manifestations, and—may be—control,1 and (ii) graphene, including its grain boundaries, and how the morphology is determined by thermodynamics and kinetics.2 We will also discuss how chemical functionalization can utilize graphene as “canvas” for nano-electrionics patterning and, for the sake of contrast and comparison, a few non-carbon 2D-materials: “white-graphene” boron-nitrogen, pure boron, metal-disulfides.3 [1] F. Ding et al. Proc. Natl. Acad. Sci. 106 (2009) 2506; R. Rao et al. Nature Mater. 11 (2012) 213; Q. Yuan, et al. Phys. Rev. Lett., 108 (2012) 245505; Y. Liu et al. Phys. Rev. Lett. 105 (2010) 235502. [2] V. Artyukhov et al. in progress; BIY and F. Ding, ACS Nano 5 (2011) 1569; Ajayan and BIY, Nature Mater. 10 (2011) 415. [3] A.K. Singh et al. ACS Nano, 4 (2010) 3510; Y. Liu et al. Nano Lett. 11 (2011) 3113; E.S. Penev et al. Nano Lett., 12 (2012) 2441; X. Zou et al., in progress.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
10:15 AM - W19.03
Understanding and Controlling the Substrate Effect on Graphene Electron Transfer Chemistry via Reactivity Imprint Lithography
Qing Hua Wang 1 Zhong Jin 1 Ki Kang Kim 2 Andrew J. Hilmer 1 Geraldine L.C. Paulus 1 Chih-Jen Shih 1 Moon-Ho Ham 3 Javier D. Sanchez-Yamagishi 4 Kenji Watanabe 5 Takashi Taniguchi 5 Jing Kong 2 Pablo Jarillo-Herrero 4 Michael S. Strano 1
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Gwangju Institute of Science and Technology Gwangju Republic of Korea4Massachusetts Institute of Technology Cambridge USA5National Institute for Materials Science Tsukuba Japan
Show AbstractGraphene has exceptional electronic, optical, mechanical, and thermal properties that make it promising for electronic, optoelectronic, and sensing applications. The chemical functionalization of graphene has been pursued to control its electronic properties and interactions with other materials. Covalent modification of graphene by organic diazonium salts has been used to achieve these goals, but because graphene is only a single atomic layer, it is strongly influenced by the underlying substrate. Here, we show a stark difference in the rate of electron transfer reactions with organic diazonium salts for monolayer graphene supported on a variety of substrates. Reactions proceed rapidly for graphene supported on SiO2 and Al2O3 (sapphire), but negligibly on alkyl-terminated and hexagonal boron nitride (hBN) surfaces, as shown by Raman spectroscopy. We develop a model of reactivity based on substrate-induced electron-hole puddles in graphene, and we achieve spatial patterning of chemical reactions in graphene by patterning the substrate.
W20/BB11: Joint Session: Recent Advances in Acoustic Applications of Carbon Nanomaterials
Session Chairs
Friday AM, November 30, 2012
Hynes, Level 2, Room 207
10:15 AM - W20.02/BB11.02
Thermoacoustic Sound Projector Based on Carbon Nanotube Sheets: Efficiency Enhancement in Encapsulated Devices
Ali Aliev 1 Ray Baughman 1
1University of Texas at Dallas Richardson USA
Show AbstractCarbon nanotubes (CNT) can generate sound with smooth spectra by means of thermoacoustics over a wide frequency range (1-105 Hz). However, the low sound generation efficiency of open CNT films at low frequencies (eta; prop;f2), where the demands for large size and flexible sound projectors is high, is frustrating. The nanoscale thickness of CNT sheets, the high sensitivity to the environment and the high surface temperatures required for sound generation suggest some protection of the CNTs is needed, which is here provided by means of encapsulation in inert gases. The observed sound pressure level for the encapsulated transducer (>130 dB in air and >200 dB underwater in near field at distance r=1 cm and >100 dB in air and >170 dB underwater at distance r=1 m) is Q times higher than for open system, where Q is a resonant quality factor of vibrating plates. For the low frequency region we propose another method to increase eta; by a factor of radic;2 by modulation of the applied high frequency carrier current with a low frequency resonant envelope. This method enables sound generation at the frequency of the applied current without the use of energy-consuming biasing. The acoustical and geometrical parameters of resonant systems providing further increase of efficiency and transduction performance will be discussed.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
W20/BB11: Joint Session: Recent Advances in Acoustic Applications of Carbon Nanomaterials
Session Chairs
Friday AM, November 30, 2012
Hynes, Level 2, Room 207
10:30 AM - W20.03/BB11.03
Femtosecond Transient Absorption Imaging of Carrier Dynamics in Individual Carbon Nanotubes and Graphene-like 2D Crystals
Libai Huang 1 Hongyan Shi 1
1University of Notre Dame Notre Dame USA
Show AbstractI will present our recent work on transient absorption microscopy (TAM) as a novel tool to image carrier and phonon dynamics in single nanostructures with simultaneously high spatial (~ 200 nm) and temporal resolution (~ 200 fs). Until now, the majority of dynamical measurements on single nanostructures are based on photoluminescence (PL). Transient absorption imaging approach offers two key advantages over PL based methods: 1) A time resolution of ~ 200 fs. This fast time resolution is important because many critical events such as electron-phonon coupling occur on such sub-picosecond time scales. 2) The measured signal is based on absorption, which means we can also study samples with low or even zero fluorescence quantum yield. I will discuss two examples of such transient absorption microscopic studies. Femtosecond transient absorption microscopy was employed to study the excited-state dynamics of individual semiconducting single wall carbon nanotubes (SWNTs). This unique experimental approach removes sample heterogeneity in ultrafast measurements of these complex materials. Transient absorption spectra of the individual SWNTs were obtained by recording transient absorption images at different probe wavelengths. These measurements provide new information about the origin of the photoinduced absorption features of SWNTs. Transient absorption dynamics traces were also collected for individual SWNTs. The dynamics show a fast ~ 1 ps decay for all the semiconducting nanotubes studied. We attributed this fast relaxation to coupling between the excitons created by the pump laser pulse and the substrate. Recent success in fabricating graphene has inspired researchers to search for semiconducting analogues of graphene in hopes to retain 2D crystallinity while providing a bandgap. In particular, monolayer MoS2 has recently emerged as a promising candidate. The second study I will present is the investigation of exciton dynamics in atomically thin and semiconducting MoS2 crystals. By controlling the dielectric environment around monolayers of MoS2 crystals, our measurements provide a comprehensive understanding on intrinsic exciton dynamics, quantum confinement effect, exciton-phonon coupling, as well as how the dielectric environment alters optical properties and energy relaxation processes in these novel 2D crystals.
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
11:00 AM - *W19.04
Electron Driven Engineering of Graphene
Mark H Ruemmeli 1
1IFW Dresden Dresden Germany
Show AbstractThe use of electrons to structure, modify and grow graphene is a rapidly developing field. The field can be classified into two primary categories, namely, current induced engineering and electron beam induced engineering. Both routes are highly versatile and afford the opportunity to cut/erode graphene, heal defects, and for catalyst-free fabrication of graphene from amorphous carbon. In this presentation in-situ transmission electron microscopy (TEM) studies exploring both current induced and electron beam engineering are presented. For the current induced studies graphene flakes suspended across electrodes are investigated at high bias. The studies provide a rich variety of phenomena that provide important insights into how one can shape or modify graphene by Joule heating. Examples include the peeling off of multilayered suspended graphene sheets layer by layer, the controlled cracking of graphene to nanoribbons as narrow as 1 nm which sustain currents as high as 6 x 109 A cm-2 and the merging of overlapping pieces of graphene. In addition, deposited amorphous carbon on suspended graphene at high bias is shown to graphitize. The use of an electron beam (from the TEM itself) is also shown to offer a plethora of engineering possibilities. For example, how one can successfully use a condensed electron beam, either with or without Cs correction, to structure graphene with sub-nanometer precision in a programmable manner is demonstrated. Moreover, it will be shown this technique combined with edge sputtering of atoms allows one to engineer graphene nanoribbons to single-atom carbon chains. In addition, amorphous carbon deposited on graphene or h-BN membranes is shown to graphitize in a planar form parallel to the support due to van der Waals interactions without the need of a catalyst. In scanning TEM mode amorphous carbon deposited on supports is generally evaporated off a graphene membrane upon exposure to the electron beam. However, small islands of amorphous carbon with dimensions of a few nanometers remove the underlying graphene during the evaporation process. The data suggest this is due to localized heating by the electron beam. Molecular dynamics simulations corroborate our experimental findings and show an explosive process for amorphous carbon clusters upon irradiation with the electron beam.
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
11:15 AM - *W18.06
Effect of Humidity on Electrical Properties of Carbon Nanotube Fibers
Gregory Kozlowski 1 Kamil Walczak 1 Agnieszka Lekawa-Raus 2 Lukasz Kurzepa 2 Krzysztof K Koziol 2
1Wright State University Dayton USA2University of Cambridge Cambridge United Kingdom
Show AbstractI will be examined the influence of ambient humidity on electrical properties of carbon nanotube assemblies. Particularly, it is found that the electrical resistance and its dependence on temperature are strongly affected by adsorbed water molecules. Theoretical explanation of experimental results is based on multiscale approach to transport phenomena in aligned CNT assemblies, where microscopic transfer mechanisms and their statistical nature are incorporated into the overall diffusivity of the conduction process.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
11:30 AM - W19.05
Polarization Induced Band Gap Reduction in Graphene Nanoribbons Supported on Weakly Interacting Surfaces
Xueping Jiang 1 Neerav Kharche 1 2 Paul Kohl 3 Timothy B. Boykin 4 Gerhard Klimeck 5 Mathieu Luisier 6 Pulickel M. Ajayan 7 Saroj Nayak 1
1Rensselaer Polytechnic Institute Troy USA2Rensselaer Polytechnic Institute Troy USA3Georgia Institute of Technology Atlanta USA4The University of Alabama in Huntsville Huntsville USA5Purdue University West Lafayette USA6Integrated Systems Laboratory Zurich Switzerland7Rice University Houston USA
Show AbstractThe band gaps in nanostructures are influenced by two major factors: (i) enhanced electron-electron interactions due to quantum confinement and (ii) modifications of the electron self-energy arising from dielectric screening. Recent studies have investigated the effect of quantum confinement on the band gap of graphene nanoribbons (GNRs) [1]; however, the effect of dielectric screening caused by surrounding materials such as substrates has not been thoroughly investigated. In effect, GNRs are deposited on different dielectric substrates in almost all the experimental measurements leaving a gap between the theoretical estimates and experimental measurements. Here, we therefore investigate the effect of substrates on the quasiparticle band gap of GNRs using many-body perturbation theory in the GW approximation [2]. We show that when GNRs are deposited on dielectrics, band gaps are drastically reduced , in some cases by as much as 1 eV even though the GNR-substrate interaction is weak. This non-local effect can be explained by a semi-classical image charge model. Such understanding is critical to engineer the band gap of graphene based devices. [1] Li Yang, Cheol-Hwan Park, Young-Woo Son, Marvin L. Cohen, and Steven G. Louie, Quasiparticle Energies and Band Gaps in Graphene Nanoribbons, Phys. Rev. Lett., 99, 186801 (2007) [2] Xueping Jiang, Neerav Kharche, Paul Kohl, Timothy B. Boykin, Gerhard Klimeck, Mathieu Luisier, Pulickel M. Ajayan, and Saroj K.Nayak, Giant quasiparticle band gap modulation in graphene nanoribbons supported on weakly interacting surfaces, submitted
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
11:45 AM - W18.07
Carbon Nanotube Fiber Field Emission Cathodes
Steven Fairchild 1 N. Lockwood 1 N. Behabtu 2 T. Back 3 P. Murray 3 J. Bulmer 4
1Air Force Research Laboratory, Wright Patterson AFB Wright Patterson AFB USA2Rice University Houston USA3Universal of Dayton Research Institute Dayton USA4University of Cambridge Cambridge United Kingdom
Show AbstractField emission DC cold cathodes continue as an important area of research for applications such as electron microscopy, novel x-ray sources, vacuum electronic THz sources, and high power microwave sources. These devices require cathodes that can produce high current densities with a high brightness electron beam. Recent field emission (FE) studies of small diameter (<100 µm) carbon nanotube (CNT) fibers have exhibited emission currents of up to 2 mA at field strengths of less than 1V/µm. CNT fibers are made by either conventional wet spinning techniques [1] or spun directly and continuously from gas phase as an aerogel [2] and consist only of CNTs with no matrix material. The CNT fiber cathodes were analyzed in a diode test configuration in vacuum at 2x10-8 Torr. The anode was a 1.5 mm flat tip copper post that was translated by a stepper motor with 2.5 µm minimum step size for accurate anode-cathode spacing. The gap spacing is imaged through a vacuum flange with a long distance microscope and is typically between 500 µm and 2 mm. Current densities well in excess of 100 A/cm2 have been observed. A direct correlation between fiber morphology and field emission properties has been determined and shown that fibers made from longer (~10 µm) CNTs that are well aligned along the fiber axis are the best emitters. Current-voltage characteristics measured from the CNT fibers have been successfully fit with the Fowler-Nordheim equation. [1] L. Ericson, H. Fan, H. Peng, V. Davis, W. Zhou, J. Sulpizio, et. al., Science, Vol 305, 1447 (2004) [2] K. Koziol, J. Vilatela, A. Moisala, M. Motta, P. Cunniff, M. Sennett, A. Windle, Science 318, 1892 (2007) This work is funded by The Air Force Office of Scientific Research
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
11:45 AM - W19.06
Correlation of Carrier Diffusion and Defect Structure in CVD-grown Graphene
Caitlin Rochford 1 2 Nardeep Kumar 2 Jianwei Liu 2 Hui Zhao 2 Judy Wu 2
1Sandia National Laboratories Albuquerque USA2University of Kansas Lawrence USA
Show AbstractLarge area graphene films which are compatible with standard commercial lithographic techniques are desired if graphene&’s exceptional properties are to be exploited in commercial applications. CVD growth of graphene on copper foils is an attractive method, but the mobility is limited by defects such as creases due to the film transfer process, wrinkles due to the different thermal expansion coefficients of copper and graphene, and grain boundaries due to the coalescence of neighboring grains. Of these three, grain boundaries have received the most attention, but creases and wrinkles may significantly affect the films&’ electronic properties as well. In this work we studied the effect of wrinkles and creases on electronic properties using all optical and combined optical/electronic techniques. Film structure and charge carrier diffusion were correlated using spatially resolved Raman spectroscopy and transient absorption microscopy. The correlation was further investigated using conventional electronic measurements. It was found that wrinkles and creases can comparably decrease the diffusion coefficient in CVD graphene by over 50% due to increased scattering by defects.
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
12:00 PM - W18.08
Structural Control of Carbon Nanotubes in the Continuous Fibre Spinning Process
Catharina Paukner 1 Krzysztof Koziol 1
1University of Cambridge Cambridge United Kingdom
Show AbstractVan der Waals interactions self assemble single wall carbon nanotubes into hexagonally closed packed bundles. In a suitable environment and at high enough temperature, the nanotubes can be synthesised with fast growth rate, resulting in the formation of macroscopic aerogel, which then is condensed into a fibre in a continuous process. The determining factor for the properties of this fibre is the intrinsic CNT morphology. We have been developing strategies to control this structure in a floating catalyst CVD reaction by controlling reaction dynamics and optimising the catalyst structure. Different from the method commonly used, where a sulphur promoter precursor is added to enhance the CNT rate of formation by chemical bonding with the catalyst particles, we propose a new strategy to control the chemistry of the reaction. A significant reduction in defects of the fibre microstructure and control over the morphology of the CNTs building up the fibre can be attained without any promoter addition but by careful selection of suitable carbon precursor structures. The pyrolization temperatures are critical to enable control over the reaction dynamics and formation of desirable nanostructure of the CNT fibre. Furthermore the fibre has very low amount of impurities remaining at approximately 0.2 wt% level, corresponding to the iron catalyst used during the synthesis process, which could be completely removed, if needed using a simple purification method. The effect of varied reaction temperature and other reaction parameters was determined by comprehensive characterization using SEM, TEM, TGA, FIB and Raman spectroscopy. High resolution TEM combined with Raman spectroscopy revealed the production of single wall nanotubes with no presence of carbon based impurities, typically observed by others on the surface of carbon nanotubes. Finally, a high level of structural perfection of individual nanotubes was achieved with D/G intensity ratio as low as 0.03 with practically none existing D peak in the Raman spectrum.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
12:00 PM - W19.07
Enhancing Carrier Injection into Graphene through Contact Area Patterning
Joshua T. Smith 1 Aaron D. Franklin 1 Christos D. Dimitrakopoulos 1
1IBM T. J. Watson Research Center Yorktown Heights USA
Show AbstractWhile graphene has demonstrated many properties desirable for future high-speed nanoelectronic applications (e.g. high carrier mobility, monolayer body thickness for superior electrostatics, and fabrication compatibility with common top-down processing techniques), the performance of devices fabricated from this material has largely been limited by contact resistance, Rc. The nature of this problem stems, in part, from the difficulties associated with carrier injection from a 3D-metal contact into a 2D-graphene sheet. Here, we demonstrate that carrier transport through the metal-graphene interface can be enhanced by making cuts in the contact regions to maximize the 'edge-contacted' graphene, a process that enables covalent and mechanically stable bonds to be formed between the metal and graphene. The impact of various contact metals and annealing on Rc is also systematically investigated. Graphene resistor devices (W = 2µm and L = 300nm) and TLM structures were defined with electron-beam lithography on single-layer graphene that was epitaxially grown on semi-insulating SiC 4H(0001) substrates. Within the contact regions of these devices, sets of ~110nm wide lines were patterned and etched perpendicular to the contact/channel interface before contact metal deposition. The number of cuts was varied from 0 to 14 and the impact of the cut edge-to-channel distance on Rc was also studied. Over 30 devices were fabricated for each of these variations for statistical analysis. Ti/Pd/Au (0.5nm/20nm/30nm), Ni (50nm), and Cu (50nm) contact metal samples were parallel processed. Additionally, a 15-hour, 350 °C high-vacuum anneal was applied to further improve contact resistance for each sample set. TLM characterization reveals a 15-18% average improvement in Rc for cut over uncut contacts for all metals considered prior to the anneal and a 27-30% difference in Rc after the anneal, despite the considerable loss of graphene area under the contact due to the presence of the cuts. The improvement is attributed to the 'edge-contacted' approach to enhance carrier injection. This unique combination of Rc reduction techniques permitted a decrease in average Rc from 938Omega;µm for uncut, unannealed Ti/Pd/Au contacts to just 125Omega;µm for cut, annealed contacts in the case of Cu contacted devices.
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
12:15 PM - W18.09
Covalently Connected 3D Graphitic Structures
Narayanan Tharangattu Narayanan 1 Sudeep Parambath Mundayodan 1 Sehmus Ozden 1 Ajayan Pulickel M. 1
1Rice University Houston, USA
Show AbstractThere is significant interest to synthesize porous, covalently inter-connected sp2 carbon containing nanostructures of carbon nanotubes (CNTs), graphene (G) and graphene oxide (GO). Interesting electron transport and mechanical properties of these three dimensional (3D) macroscopic structures make them suitable for various electrical, mechanical, electrochemical, sensor and bio-medical applications. Various functional groups make GO as an ideal candidate to synthesize such interconnected hybrid structures. Although GO contains only one third of sp2 bonded graphitic domains, successive and controlled reduction of GO can regain a considerable fraction of the sp2 domains. Moreover, the modification of the surface functionalities can tune its physical properties. Functionalization with elements like fluorine (F) or nanoparticles like iron oxide can induce multifunctional properties to GO and its reduced forms. Here, we discuss the synthesis of highly porous and covalently interconnected GO and functionalized GO networks. The interconnection can be created by taking the concept of polymerization of organic monomers with the aid of cross linking agents. Highly porous macrostructures of CNTs will be another interesting 3D candidate. Palladium chemistry has been successfully utilized for the first time to connect individual, functionalized as well as doped CNTs and these asymmetrically functionalized CNT web forms a 3D macroscopic structure. Various aspects of such 3D porous structures will be discussed here.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
12:15 PM - W19.08
Contact Resistivity Evaluation of Dense CNT Forest Using Conductive AFM
Masafumi Inaba 1 Megumi Shibuya 1 Kazuyoshi Oohara 1 Takumi Ochiai 1 Yoshiho Masuda 2 Atsushi Hiraiwa 1 Michiko Kusunoki 2 Hiroshi Kawarada 1
1Waseda University Shinjuku Japan2Nagoya University Nagoya Japan
Show AbstractContact properties of CNT forest for electron device application: To apply carbon nanotube (CNT) to electron devices, metallic properties of CNT forest as electrode can be applicable from nano size via structure of Si-ULSI to large metal contact of SiC power device. For the reduction of contact resistivity in LSI via structure, densely grown (~1012 cm-2) CNT has been realized by remote plasma CVD (RPCVD CNT)[1,2]. Metal contact is also serious for SiC power devices. CNT synthesized with SiC surface decomposition method (CNT on SiC) exhibits the most densely packed CNT forest (~1013 cm-2)[3,4]. Here we report the estimation of CNT-metal contact resistivity of RPCVD CNT on Si and decomposed CNT on SiC by conductive AFM. Conductive AFM measurement of densely packed CNT forests: RPCVD CNT has been densely grown on Au/Ti bottom electrode on Si(001). This CNT is single-wall dominant with the density of ~1012 cm-2[1,2]. CNT on SiC is synthesized on the C-face (000-1) on-axis n-type 4H-SiC substrate (resistivity ~10-2 Omega;cm) with SiC surface decomposition method[3,4]. This CNT is double-wall dominant, more densely aligned (~1013 cm-2). Current mapping was carried out using conductive AFM. High current region is homogeneously observed with a few low current spots in the case of the CNT on SiC, while high electric current peaks exist in highly resistive regions in the case of RPCVD CNT. Although RPCVD CNT plots disperse, they can be averaged and the fitted line is extrapolated to obtain contact resistance Rc of 35 kOmega; by transfer length method (TLM). By estimating the CNT-probe contact cross-section and the number of probed CNT using tip profiling, RPCVD CNT-metal contact resistivity can be estimated to be ~1.7×10-8 Omega;cm2, Furthermore, CNT on SiC plots show the Rc of 10 kOmega; by TLM, indicating that metal-CNT on SiC contact resistivity can be evaluated to be ~5×10-9 Omega;cm2. The contact resistivity of CNT depends on the density of CNT in the forest. These contact resistivities are 1~2 order of magnitude lower than graphene-metal[5] contact resistivity (~1×10-7Omega;cm2). One of the main reasons is that the density of states near the Fermi level of CNT edges is higher than that of graphene. This is advantageous for high current density device (power device) as well as small voltage-biased devices (nano device).These results also shows that AFM conducting probe is a good tool for contact properties of both nano size LSI via and power device. Conclusion: Contact resistance of CNT forest is lower than that of graphene-metal and its property is applicable for vertical device including SiC power device. Acknowledgement: This research was partially supported by advanced low carbon technology research and development program. References: [1] D.Yokoyama, H.Kawarada et al., APL 47.4 (2008) [2] Y. Yamasaki, Y. Awano et al., APEX 3, 055002 (2010) [3] M. Kusunoki et al., APL77, 531 (2000) [4] M. Kusunoki et al., APL 87, 103105 (2005) [5] K. Nagashio et al., APL 97, 143514 (2010)
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
12:30 PM - W18.10
Stable Doping of Graphene by Intercalation of Organic Solvent
Hyun Ho Kim 1 Sae Byeok Jo 1 Seong Kyu Lee 1 Hyochan Lee 1 Kilwon Cho 1
1Pohang University of Science and Techonology Pohang Republic of Korea
Show AbstractGraphene has become an important alternative for the flexible transparent conducting electrode (TCE). The doping of graphene can control two of the most important features of the TCE, the sheet resistance and the work function. Here, we introduce a stable doping method of graphene using a common organic solvent. Using this method, the work function of graphene was increased from 4.61 to 5.18 eV and sheet resistance was decreased by more than two orders of magnitude without sacrificing the transmittance. Interestingly, a highly stable and strong doping behavior was also observed. This exceptional behavior can be attributed to the intercalation of chloroform molecules at the interface between the graphene and the SiO2 substrate, which was confirmed by experimental observation and theoretical calculations. In addition, graphene structure-dependent intercalation of the solvents was also demonstrated by measuring desorption energies of solvent molecules by Arrhenius approach.
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
12:30 PM - W19.09
Single Layer Graphitic Carbon-nitride: A Wide Bandgap Analog to Graphene
Yancen Li 1 Daniel Schmidt 2 Joel Therrien 1
1U Mass Lowell Lowell USA2U Mass Lowell Lowell USA
Show AbstractAlthough graphene remains the premier 2-D material its utility will be limited if a bandgap cannot be created reliably. Other 2-D materials with intrinsic bandgaps, such as MoS2, have been shown to exist. Here we will present a close analog to graphene, composed of carbon and nitrogen, known as melon. Bulk melon, also known as graphitic carbon-nitride, has been successfully synthesized and shown to be an organic semiconductor with a band-gap around 2.7 eV. We will report on the successful synthesis of single layer melon large enough to fabricate transistors with. The physical and electrical characteristics of this close cousin to graphene will be presented along with the synthesis method.
W18: Structural Characterization (Modeling)
Session Chairs
John Boeckl
Krzysztof Koziol
Friday AM, November 30, 2012
Hynes, Level 3, Room 309
12:45 PM - W18.11
Imaging the Crystal Structure of Molybdenum Disulfide Monolayers Grown with Chemical Vapor Deposition
Arend Marcel van der Zande 1 Daniel Chenet 2 Yumeng You 3 Pinshane Huang 4 Yilei Li 3 Alexander Gondarenko 2 David Muller 4 Tony Heinz 3 James Hone 2
1Columbia University New York USA2Columbia University New York USA3Columbia University New York USA4Cornell University Ithaca USA
Show AbstractWe used chemical vapor deposition to grow single-crystal, monolayer Molybdenum disulfide on insulating substrates and characterized the structure and electronic properties with Dark-Field TEM, Second Harmonic Generation, Photoluminescence, Raman, and electrical transport. Molybdenum disulfide (MoS2) is a van der Waals layered material similar to graphite, where each layer is three-atoms-thick. While the bulk material has an indirect bandgap, monolayers of MoS2 are mechanically strong, flexible, direct-bandgap semiconductors. While most published work has been done on micron-scale crystals derived from mechanical exfoliation, most applications require large-area, high-quality monolayer samples. We used chemical vapor deposition with solid catalysts to grow monolayer MoS2 crystals directly on silicon oxide using a technique similar to [1]. The MoS2 forms as either isolated triangles up to 50 microns in length or grows together into continuous sheets. We used both transmission electron microscopy (TEM) and optical imaging techniques to measure the crystal structure of the MoS2 crystals. First, for TEM studies, we transferred the MoS2 crystals to a TEM grid. Using Dark-Field TEM [2], we confirm that isolated triangles are monolayer, single crystals with terminated with predominantly zig-zag edges. The crystals can grow together to form angularly mismatched or mirror twin grain boundaries. We used second harmonic generation imaging microscopy to optically image the crystal structure. By probing the MoS2 with 812 nm femtosecond pulses we induce second harmonic generation in the MoS2. The 406 nm emitted light is strongest when the excitation pulse is polarized along a crystal axis of the MoS2. This new technique allows us to image the size and orientation of individual crystal grains embedded in a continuous sheet directly on the growth substrate in ambient conditions. Finally, we used optical spectroscopy and electron transport to measure the electrical properties. The Raman spectroscopy and photoluminescence confirm that the crystals are monolayers, with a 1.85 eV bandgap. To measure electron transport, we used e-beam lithography to fabricate field effect transistors from the MoS2 crystals on the growth substrate. The FETs were n-doped with mobilities of 0.05-1 cm^2/Vs. These results show that we can now grow large-area, single-crystal MoS2 on insulating substrates with properties equivalent to exfoliated samples. These experiments demonstrate that like graphene conductors, monolayer MoS2 semiconductors can be grown, transferred and shaped on a large scale-- enabling the future production of electronics made entirely out of 2D materials. [1] “Synthesis of large-area MoS2 atomic layers with chemical vapor deposition”, Y.-H. Lee, Z.-Q. Yang et al. Adv. Mat. 24 17, (2012) [2] “Grains and grain boundaries in single layer graphene atomic patchwork quilts” P. Huang, C.S. Vargas, A.M van der Zande et al. Nature 469, 389 (2011)
W19: Electrical
Session Chairs
Young Hee Lee
Wonbong Choi
Friday AM, November 30, 2012
Hynes, Level 3, Room 311
12:45 PM - W19.10
Graphene Grain Boundaries via Scanning Tunneling Potentiometry: Structure and Electron Transport
Kendal Clark 1 Xiaoguang Zhang 1 Ivan Vlassiouk 2 Gong Gu 3 Randall Feenstra 4 An-Ping Li 1
1Oak Ridge National Laboratory Oak Ridge USA2Oak Ridge National Laboratory Oak Ridge USA3The University of Tennessee Knoxville USA4Carnegie Mellon University Pittsburg USA
Show AbstractGraphene, due to its unique electronic structures, has quickly become one of the most notable “super-materials” poised to transform the electronics and nanotechnology landscape. The symmetry of the graphene honeycomb lattice is a key element for determining many of graphene&’s unique electronic properties, such as the linear energy-momentum dispersion and the reduced backscattering (i.e., high carrier mobility). However, structural defects, such as grain boundaries and step edges, break the sublattice symmetry and can affect the electronic properties, especially in transport of graphene in unexpected ways. To utilize the full potential of graphene a fundamental understanding of the physical and electronic properties of defects in this system is needed. By using a scanning tunneling potentiometry method with a low temperature four-probe scanning tunneling microscope, two-dimensional maps of electrochemical potentials have been measured down to atomic scale across surface steps and individual grain boundaries on both epitaxial grown graphene films on SiC and CVD grown graphene on copper foil, transferred to SiO2. A combination of Scanning Tunneling Microscope (STM) and Atomic Force Microscope (AFM) imaging is implemented to characterize the atomic structures of the surface step or grain boundary that forms on the graphene surface. Results of the influence of the surface steps and grain boundaries on the electronic transport across this potentially revolutionary new electronic system will be presented. This research was conducted at the Center for Nanophase Materials Sciences, which is sponsored at Oak Ridge National Laboratory by the Office of Basic Energy Sciences, U.S. Department of Energy.