Symposium Organizers
August W. Bosse, ExxonMobil Research and Engineering Company
Joy Y. Cheng, IBM Almaden Research Center
Roel Gronheid, IMEC
Gila E. Stein, University of Houston
S3: Materials for DSA I
Session Chairs
Ricardo Ruiz
Sander Wuister
Monday PM, November 26, 2012
Sheraton, 2nd Floor, Back Bay D
2:30 AM - *S3.01
Blocks and Brushes: Pairing Networks and Broken Chains to Make Nanoscale Structures
Michelle Chavis 1 Rina Maeda 2 M. Elizabeth Welch 3 Christopher K Ober 1
1Cornell University Ithaca USA2Tokyo Institute of Technology Tokyo Japan3Cornell University Ithaca USA
Show AbstractThe need for small scale structures with controlled chemical functionality, surface energy and spatial selection continues to grow in importance. New coatings, microelectronic and biomedical applications, sensors and related technologies all call for such surface control. By using self-organizing materials such as block copolymers and block copolymer brushes it is possible to control both surface chemical composition and to determine spatial arrangement. Patterned surfaces can be formed through careful selection of the component blocks from polymers that either crosslink or cleave under appropriate radiation exposure. In this study, block copolymer thin films were produced by spincoating and then solvent annealed to create long-range order. By incorporating photoactive compounds in the block copolymer, it was possible to subsequently crosslink one of the blocks and then photochemically remove the second block to form well aligned dot or line arrays. Chemically similar diblock brushes were grown using surface initiated ATRP on silicon. We have shown that this strategy enables the formation of sub-surface nanochannels and we believe will enable the formation of nanosheets and membranes with controlled surface functionality.
3:00 AM - *S3.02
Highly Asymmetric Lamellar Nanopatterns via Block Copolymer Blends Capable of Hydrogen Bonding
Seung Hyun Han 1 Dusik Bae 1 Jin Kon Kim 1
1Pohang Univ of Science and Technology Pohang Republic of Korea
Show AbstractManufacturing next generation-integrated circuits requires feature sizes of less than 20 nm. In this context, lithography based on self-assembly of block copolymers has received significant attention due to the ability to achieve morphologies with dimensions in the range 10 ~ 20 nm. In conjunction with chemically and physically nanopatterned substrates, epitaxial assembly of the defect-free microdomains of block copolymers over large areas has been successfully demonstrated as a means to achieve the lithographic objectives. Typically, the block copolymer microdomains used for this purpose have been based on periodic lamellar microdomains (or morphology). However, such lamellar morphologies usually arise only in a narrow range of block compositions in diblock copolymers when the volume fractions of the two components are approximately the same. Thus, the resulting morphologies have been restricted to only symmetric (or nearly symmetric) widths of the microdomains. However, for the next-generation nanoscopic patterns required in lithography, the above strategies need to be extended to achieve highly asymmetric line patterns in nanoscale (i.e. the microdomain width of one of the nanopatterns is significantly different from the other), similar to those which could be obtained through techniques such as top-down e-beam lithography. Unfortunately, however, when the volume fraction of one of the blocks in the block copolymers is much smaller than the other block, spherical and cylindrical microdomains are formed instead of lamellar microdomains. In this talk, I will present a conceptually new and versatile approach to produce highly asymmetric lamellar morphologies by the use of binary blends of block copolymers whose components are capable of the hydrogen bonding. We chose a binary blend of two block copolymers: polystyrene-b-poly(2-vinyl pyridine) copolymer (PS-b-P2VP, denoted as S2VP) and PS-b-poly(4-hydroxystyrene) copolymer (PS-b-PHS, denoted as SHS). Here, P2VP and PHS exhibit strong interactions arising from H-bonding between N atoms in the P2VP chains and hydroxyl group in the PHS chain. Highly asymmetric lamellar microdomains in the S2VP/SHS mixture were aligned parallel to a substrate when the mixture was spin-coated and thermally annealed at higher temperature. To fabricate the vertically oriented asymmetric lamellar microdomains, we employed multi-layered spherical micelles with P2VP+PHS cores, followed by suitable solvent annealing. By this approach, we obtained vertically oriented lamellar microdomains in a thin film (~ 150 nm thickness). The method employed in this study has the potential to fabricate tuneable nanoscopic line patterning for next-generation integrated circuits.
3:30 AM - S3.03
Chemical Patterns from Surface Grafted Resists for Directed Assembly of Block Copolymers
Myungwoong Kim 1 Eungnak Han 1 Padma Gopalan 1
1University of Wisconsin-Madison Madison USA
Show AbstractWe demonstrate a direct e-beam patternable one-component block copolymer (BCP) resist to fabricate a chemical pattern for the directed assembly of a symmetric block copolymer. The resist consists of a low molecular weight poly(styrene-block-methyl methacrylate) with a hydroxyl group at the PMMA chain end (PS-b-PMMA-OH), which anchors the chains to the surface. The block architecture of the resist combines the essential features of “bottom up” and “top down” approaches as it functions as a nonpreferential or preferential layer to control orientation of BCP domains from the substrate interface and as an e-beam resist to allow top-down lithographic process to spatially define the buffer layer on the substrate. The composition of the PS-b-PMMA-OH can be tuned by changing the relative block lengths to create a nonpreferential or preferential surface which effectively induces perpendicular orientation of domains in an overlying BCP film. The grafted block copolymer can be locally shaved by e-beam lithography resulting in spatial control of domain orientation in the BCP film. The short tethered PMMA block provided sufficient sensitivity to allow scission by e-beam. The length of the untethered PS block was fine-tuned to impart the required contrast between the patterned and the unpatterned region for 1:1 assembly of an overlying BCP blend. Two BCP resists with a PS fraction of 0.25 (16SM) and 0.34 (18SM), with a total molecular weight less than 20K, were synthesized, and the assembly of a ternary BCP blend was studied. 16SM- and 18SM-anchored substrates showed nonpreferential and PS preferential surfaces, respectively. Both 18SM and 16SM could be patterned by e-beam to fabricate a 1:1 chemical pattern with a line pitch of 70 nm for the assembly of a BCP ternary blend. 18SM gave fewer defects than 16SM due to an increased contrast in interfacial energies between adjacent stripes in the chemical surface pattern. Two additional PS-b-PMMA-OH polymers with a molecular weight of 39K (PS fraction = 0.76) and 69K (PS fraction = 0.83) were synthesized to study the effect of PS crosslinking upon exposure to e-beam. As the PS fraction increases, the line pattern becomes blurred and ultimately ineffective in guiding the BCP assembly. The blurring is attributed to crosslinking of adjacent PS chains. The direct patterning approach reduces the number of steps involved in forming chemical patterns by conventional lithography. The understanding on the patterning of surface grafted BCPs developed in this work can be adapted to areas other than BCP lithography such as sensors, microfluidic devices, and biodiagnostics by selecting an appropriate upper block.
3:45 AM - S3.04
Directed Self-assembly of Liquid Crystalline Polymers through Photo-alignment Surfaces
Michael E. McConney 1 Kyunmin Lee 1 Vincent P. Tondiglia 1 Timothy J. Bunning 1 Timothy J. White 1
1Wright Patterson Air Force Base Dayton USA
Show AbstractLiquid crystals and liquid crystalline polymers are a well developed class of materials with a rather larger tool box and thus is ripe for the development of new directed self-assembly nanopatterning techniques. Liquid crystals have the ability to act as smart solvents in polymerization by imparting order on the forming polymer. Photo-alignment materials are functional surfaces capable of spatial and orientational control of liquid crystal molecules. Here we present recent results in the development of directed self assembly techniques for polymer stabilized liquid crystals and liquid crystalline polymers. Specfically, the recent results and the future implications of directed self-assembly and defect control in liquid crystalline polymers via photoalignment materials.
S4: DSA Processing and Control II
Session Chairs
Monday PM, November 26, 2012
Sheraton, 2nd Floor, Back Bay D
4:30 AM - *S4.01
Block Copolymers for Microlithography
C. Grant Willson 1 2 Christopher M Bates 2 Takahiri Seshimo 2 Michael Maher 2 William J Durand 1 Julia D Cushen 1 Leon M Dean 1 Gregory Blachut 1 Christopher J Ellison 1
1The University of Texas Austin USA2The University of Texas Austin USA
Show AbstractThere is currently a huge push in industrial and academic laboratories all over the world to find a cost effective way to continue the shrinking and scaling of microelectronic devices and thereby follow Moore&’s Law. The current printing methodology, 193nm immersion lithography has finally reached its fundamental limit. Huge sums and many careers have been invested in so called EUV lithography, which was hoped to be the solution to continuing on this path. That technology is very late and very expensive. Hence, a variety of clever tricks have been developed to leverage the limited resolution of the current 193nm imaging technology. These process tricks are all costly and complex, but they are being implemented as there is no alternative. Directed Self Assembly of block co-polymers is emerging as one of the lowest cost and simplest of these “tricks” to implement. Interest and investment in this patterning approach is increasing at an exponential rate. Some form of the DSA process will surely be implemented in manufacturing soon. Block co-polymers can self assemble into cylinder and lamellar structures with sub 10nm dimensions that are very valuable for microelectronics and for many other engineering applications. In order to assemble such small structures, the blocks of the co-polymer must have a high interaction parameter (chi;) and unfortunately, a high chi; parameter makes it very difficult, if not impossible to orient the structures normal to the substrate, which is the orientation that is required for semiconductor applications (and most other engineering applications such as membranes, etc.). Perpendicular alignment of high chi; block copolymers has been achieved, but only by solvent annealing, which is slow and introduces many other processing problems that would require re-tooling the semiconductor manufacturing lines. We have found a way to orient these small structures very efficiently and very quickly by thermal annealing! The methodology requires developing a new “top coat” polymer that in its polar state can be coated from an aqueous solution, a solvent that does not interact with the block co-polymer. After coating over the block copolymer film, baking induces a chemical reaction that renders the film less polar and near “neutral”, meaning that its interaction energy is approximately the same for the two blocks. The change in interaction energy is reversible so that after thermal annealing of the block copolymer, the top coat film can be removed by rinsing in an aqueous solvent. These top coat polymers must not only undergo large and reversible change in polarity, they must have a glass transition temperature that is well above that of either block in the block copolymer in order to preclude interdiffusion during the annealing process. Examples of top coat polymers and their application to successful thermal annealing of block copolymers with very high chi; parameters will be presented.
5:00 AM - S4.02
Tuning Molecular Relaxation to Dynamic Thermal Gradient Soft Shear for Orthogonal Orientation in Highly-ordered Block Copolymer Films
Alamgir Karim 1 Gurpreet Singh 1 Kevin G. Yager 2 Detlef M. Smilgies 3
1The University of Akron Akron USA2Brookhaven National Laboratory Upton USA3Cornell University Ithaca USA
Show AbstractFabricating etchable high-aspect ratio vertical and aligned horizontal nanodomains of block copolymer (BCP) thin films on diverse substrates via continuous thermal processing are important to nanomanufacturing of nanoelectronics. For vertical order, we develop a dynamic, sharp thermal gradient (#9661;T ~ 45 °C/mm) annealing process termed cold zone annealing-sharp (CZA-S). We fabricate vertically oriented etchable cylindrical domains of ultra-thick (~ 1 mu;m) films of poly(styrene-b-methyl methacrylate) on rigid (quartz) and flexible substrates (PDMS, Kapton) for next generation electronics using CZA-S. Tuning the dynamic thermal sweep rate (V) to the BCP&’s terminal relaxation time (tau;R ~ 30 min. @ 180 °C) is critical for optimal vertical order. Accordingly, V ~ 5 mu;m/s produces optimal aligned vertical order as determined by AFM and 4th order GISAXS diffraction peak. At too fast a sweep rate, V > 10 mu;m/s, the BCP film ordering is kinetically hindered, while at too slow a sweep rate, V < 1 mu;m/s, polymer relaxation and preferential surface wetting dynamics favor parallel BCP orientation. Identical static gradient field confirms that vertically aligned BCP cylinders occur only for #9661;T ge; 30 °C/mm. For defect-free horizontal order, we develop a CZA-SoftShear (CZA-SS) process, wherein the BCP films are confined under a soft-shear polydimethylsiloxane (PDMS) layer to obtain unidirectional horizontal cylinders over large areas (> 50 cm2). In dynamic CZA-SS process, conformal, yet non-adherent thermal expansion of PDMS induced by the thermal field in CZA direction imposes a localized lateral shear field (lateral shear stress ~ 2.5 × 104 Pa) on the BCP film. AFM and GISAXS analysis reveal ge; 97% aligned orientational order with an angular spread of le; 8 degrees FWHM. This orientational order is preserved even for thick films (> 1 mu;m) on flexible substrates at extremely high processing speeds (~ 200 mu;m/s), essential for scale-up to roll-to-roll manufacturing purposes.
5:15 AM - S4.03
Direction Control of Block Copolymer by Applying Interesting Reactivity of Functional Self-assembled Monolayer for Self-assembly Lithography
Shigeki Hattori 1 Atsushi Hieno 1 Hiroko Nakamura 1 Koji Asakawa 1 Yuriko Seino 2 Masahiro Kanno 2 Tsukasa Azuma 2
1Toshiba Corporation Kawasaki Japan2Toshiba Corporation Yokohama Japan
Show AbstractThe microdomain structure of the block copolymer was aligned by using the effective reactivity of functional self-assembled monolayers (SAMs) for directed self-assembly (DSA) lithography. We focused on the interesting properties of the functional SAMs, such as electron-beam (EB) reactivity, photochemical reactivity, and thermal reactivity. The surface energy could be controlled by these functional SAMs and the block copolymers were effectively aligned. DSA lithography has recently emerged as a candidate of next-generation lithographic technologies to overcome the resolution limit of conventional optical lithography. The block copolymers used for the DSA lithography naturally form size-uniform microdomain structures but they are randomly aligned. Therefore, the direction control of the microdomain structures is required for the fabrication of various electronic device patterns in DSA lithography. The direction of microdomain structures of block copolymers can be controlled by changing the condition of wafer surface. In this study, the wafer surface was modified with SAMs to obtain the suitable surface condition for the purpose of direction control of self-assembled block copolymer. SAMs are effective materials for the surface energy control because they form a monolayer quickly and easily. Additionally, the functional surfaces could be designed if functional groups were added to the SAMs. They consist of surface-modifying groups with functional groups having the desired properties, such as EB reactivity, photochemical reactivity, and thermal reactivity. In the case of EB reactive SAMs, the modified wafer with the SAMs was exposed by EB to change the surface energy. In the cases of photochemically reactive SAMs and thermally reactive SAMs, the desired polymer materials were overcoated on the modified wafers. They were flush-illuminated or short-time-baked to immobilize the interfacial polymer layers and rinsed the excess polymer layers to provide the surface polymer layers. The perpendicular lamellar structure of polystyrene-polymethylmethacrylate block copolymer (PS-b-PMMA) was obtained by spin-coated and annealed on the suitable surface layer. The directions of self-assembled patterns of PS-b-PMMA can be controlled using our proposing surface layers.
5:30 AM - S4.04
Thermosolvent Annealing of Block Copolymer Thin Films for Directed Self-assembly Applications
Kevin Willy Gotrik 1 Adam Hannon 1 Caroline Ross 1
1MIT Cambridge USA
Show AbstractBlock copolymers (BCP) can generate patterns with periods ranging from 5 - 100 nm which is useful in nanolithography. However, some challenges remain before this technology can be used for industrial applications. These include reproducibility, morphology control, and enhancing the kinetics of self-assembly. In bulk BCP systems, the equilibrium morphology (cylinders, spheres, etc.) is determined by the volume fraction of the blocks. For lithographic applications, it is useful to vary the morphology that can be produced from a given polymer to increase the complexity of patterns it can generate. Solvent annealing can vary the morphology by selectively swelling the blocks, but it is commonly done at room temperatures and the annealing can take hours. We report self-assembly rate and morphology control using a custom built thermosolvent annealing system where multiple solvent vapor streams are controlled via mass flow controllers (0-10 sccm), and flowed into an annealing chamber (quartz, 80cm^3) containing the samples, which are placed on a resistive silicon nitride stage where temperatures are rapidly (< 1 min) controlled between 25 - 250 °C, and the film thickness is measured in situ using spectral reflectometry. The system investigated is thin films (30-70 nm) of cylinder forming poly(styrene-b-dimethylsiloxane) (PS-PDMS, segmental Flory-Huggins interaction parameter=0.26, f_PDMS = 0.32) with a molecular weight of 45 kg/mol, exposed to different vapor conditions of toluene and heptane while being rapidly heated to different temperatures. Morphology and rate behavior is tracked by utilizing spectral reflectometry (270-1500 nm) followed by observation of the PDMS morphology after selectively etching the PS with an oxygen plasma (50 W O2) with the intention of producing complex cylindrical patterns in minutes. In addition to films on smooth substrates, thermosolvent annealing of films on patterned substrates is described. The templates consist of arrays of posts made by exposure of hydroxyl silsesquioxane (38 nm thick) with an ELS-F125 e-beam lithography system and subsequently functionalized with a PS or PDMS brush [Nature Nanotechnology 5 256 (2010), Science 336 1294 (2012)]. The combination of thermosolvent annealing and nanoscale post templating allows for complex nanoscale patterns to be generated rapidly for lithographic applications. Both experimental results of the morphology vs temperature and solvent vapor pressures, and self-consistent field theory modeling using a monatomic fluid partition function representation of solvents are described.
5:45 AM - S4.05
Continuous Deposition of Ordered Block Copolymer Thin Films by Electrospray
Hanqiong Hu 1 Sofia Rangou 2 3 Volkan Filiz 3 Apostolos Avergopoulos 2 Chinedum Osuji 1
1Yale Univ. New Haven USA2University of Ioannina Ioannina Greece3Helmholtz-Zentrum Geesthacht, Institute of Polymer Research Geesthacht Germany
Show AbstractDeposition of block copolymer thin films is most often accomplished in a serial process whereby material is first spin coated onto a substrate and subsequently annealed, either thermally or by solvent vapor, to produce a well-ordered morphology. Here we show that under appropriate conditions, well-ordered block copolymer films can be continuously grown by slow deposition of discrete sub-attoliter quantities of material using electrospray. Electrospray is a well-established technique of liquid atomization for the preparation of droplets of various sizes ranging from molecular dimensions to hundreds of microns. Film deposition rate can be easily tuned by spray parameters. Ordered film formation is predicated on fast thermal equilibration relative to the rate of deposition. We conduct time-resolved observations and investigate the effects of process parameters that underpin film morphology including solvent selectivity, substrate temperature and flow rate of the electrospray feed solution. We have studied a couple of different material systems, such as PS-b-PEO and PS-b-PMMA. Solvent selectivity plays an important role in determining the film morphology as it mediates the preference of the blocks for the free air interface, which is analogous to solvent vapor annealing. We also observe wide temperature and flow rate windows for the film to be ordered, which predicate relaxation and deposition rate, respectively. PEO and PMMA cylinders were found to align with their long axes perpendicular to the film-air interface at optimal spray conditions. The continuity of perpendicular growth is under investigation using cross-sectional TEM. The relative ease with which the spray parameters can be tuned suggest that electrospray offers a new and viable route for controlled deposition of block copolymer thin films and manipulation of their microstructure.
S5: Poster Session
Session Chairs
Monday PM, November 26, 2012
Hynes, Level 2, Hall D
9:00 AM - S5.01
Mechanically Induced Cracking as a Form of Self-assembly
Scott C Warren 1 Qiang Zhuang 1 Bilge Baytekin 1 Ahmet F Demiroers 1 Pramod Pillai 1 Tarik Baytekin 1 Jared Incorvati 1 Bartosz A Grzybowski 1
1Northwestern University Evanston USA
Show AbstractResponsive surfaces are found in scientifically and technologically important materials; they have been used in self-cleaning surfaces, adhesives and artificial muscles and are prevalent in biological systems. These surfaces respond to electric fields, mechanical forces, capillary forces, heat, light and chemical gradients. Although these surfaces are increasingly designed from nanoparticles, the impact of mechanical forces and on nanoparticle film structure and properties is largely unknown. Here we study nanoparticle monolayers that are assembled on the surface of an elastic polymer, which allows precise control over strain. We observe that macroscopic deformation provokes the formation of a small number of large cracks in the monolayer, and that subsequently these large cracks are transformed into many smaller cracks as the nanoparticles translate across the surface. The crack patterns evolve with time, eventually reaching an equilibrium structure that depends on interactions between each nanoparticle and surrounding nanoparticles as well as with the elastic substrate. We demonstrate that the length-scale of crack patterns can be controlled with nanometer precision and can therefore serve as the basis for further design and functionalization of these surfaces: the strain-induced patterns allow the size, shape, orientation, and charge-selective deposition of additional nanoparticles. The resulting materials are used to produce high performing materials; we highlight the design of conductive, transparent, metal electrodes.
9:00 AM - S5.02
Ion Assisted Aerosol Lithography (IAAL) for Multiscale Multidimensional Patterning of Nanoparticles
Hoseop Choi 1 2 Kyungyeon Ha 1 2 Peter Pikhitsa 2 Mansoo Choi 1 2
1Seoul National University Seoul Republic of Korea2Global Frontier Center for Multiscale Energy Systems Seoul Republic of Korea
Show AbstractFundamental building block for novel nanodevices is the nanoparticle that currently many excellent techniques are available to control its size, morphology and crystalline phase at mass quantity. However, the practical realization of above mentioned novel nanodevices requires the construction of multiscale three-dimensional buildings consisting of the basic building block, nanoparticles, particularly as an ordered array, which remains challenging. We developed a parallel method called the Ion Assisted Aerosol lithography (IAAL) for multidimensional multiscale assembling of nanoparticles with nanoscale resolution at atmospheric condition. When charged nano-aerosols and ions are injected under electric field onto prepatterned substrates, ions accumulate on the surface of non-conducting surface, which generates nanoscale electrostatic lens through which charged aerosols are convergently guided and deposited only into the centre region of the exposed surface(1). In this way, the feature size can be significantly reduced enabling a nanoscale parallel patterning of nanoparticles even from originally micron sized openings (2). This incipient nanoparticle pattern is acted as a root for further growth up to various multiscale three-dimensional nanoparticle buildings (3,4). Simulation of constructing multiscale multidimensional nanostructures will be presented. Large area patterning of nanoparticles is demonstrated to show the formation of various shaped 3D nanoscale buildings consisting of nanoparticles as an ordered array. Novel applications utilizing these structures will be also discussed. (1). Kim, H., et al. Nature Nanotechnology, vol. 1, no. 2, 117-121(2006) (2). You, S. et al. Small, 6, 2146-2152 (2010) (3). Choi, M. et al. 27th Annual Conference of Amercan Association for Aerosol Research, Abstract book, 2D. 04. (2008) (4). Lee, H. et al.. Nano Letters, 11, 119-124 (2011)
9:00 AM - S5.03
Superlattices of Superparamagnetic Nanoparticles via Solution Self-assembly
Sara Mehdizadeh Taheri 1 Sabine Rosenfeldt 1 Markus Drechsler 1 Beate Foerster 1 Peter Boesecke 2 Markus Retsch 1 Stephan Foerster 1
1University of Bayreuth Bayreuth Germany2ESRF Beamline ID2 Grenoble Cedex France
Show AbstractSolution self-assembly of superparamagnetic nanoparticles is driven by short-ranged magnetic dipolar interactions. Interesting self-assembly phenomena occur if the sizes of the nanoparticles are so small that they become comparable to the range of magnetic interactions. In this regime nanoparticle self-assembly delicately depends on size, shape, thickness of the stabilization layer, and strength of external magnetic fields. We show by using dynamic light scattering, cryo-TEM, cryo-SEM and synchrotron small-angle x-ray scattering, that small cubic nanoparticles with thin stabilization layers self-assemble into very long strings, planar monolayer sheets, and highly ordered meso-crystals of sizes of several micrometers, which can be oriented in external magnetic fields. It is further possible to attach polymer layers of controlled thickness to the surface of the nanopartilces to control inter-nanoparticle distances. We show that this enables control of the self-assembly of nanoparticles via size, shape, layer thickness and external magnetic field for the preparation of well-defined two- and three-dimensional highly ordered nanoparticle arrays with perfect nanoparticle distance control.
9:00 AM - S5.04
Site-selective Surface Platforms of Organosilanes for Molecular Level Investigations of Proteins: Fibrinogen Nanopatterns Prepared by Particle Lithography
Lauren Englade-Franklin 1 Jayne C. Garno 1 ChaMarra K. Saner 1
1Louisiana State University Baton Rouge USA
Show AbstractWe introduce an approach for high-throughput patterning of fibrinogen using the headgroups of organosilane self-assembled monolayers to achieve nanoscale spatial selectivity on surfaces of mica, glass and silicon. Fibrinogen is a plasma protein that has a major role in the clotting cascade in blood coagulation and wound healing. Particle lithography is a practical and highly reproducible method for patterning proteins with nanometer-level precision. The natural self-assembly of monodisperse silica spheres provides a surface mask for patterning organosilane films. Millions of nanostructures can be prepared on a range of different surfaces with relatively few defects. Mesospheres assemble spontaneously into organized crystalline layers when dried on flat substrates, which furnishes a structural frame or template to model the organosilanes. The template particles are displaced with a simple rinsing step to disclose periodic arrays of silane nanostructures on surfaces. Chemical patterns of mercaptosilanes were used to define adhesive sites for the selective attachment of fibrinogen, whereas silanes presenting a PEG functional group provided a resist layer. Using sulfosuccinimidyl-4-(N-maleimidomethyl) cyclohexane-1-carboxylate (sulfo-SMCC) linker chemistry, proteins can be coupled to mercaptosilane functional groups on the patterned surface. The sulfo-NHS ester of the sulfo-SMCC is reactive to lysine residues of the protein, and a sulfhydryl-reactive maleimide on sulfo-SMCC reacts with the sulfhydryl silane of the surface. Images acquired using atomic force microscopy reveal that proteins attached selectively to the areas of the surface with thiol headgroups, forming nanopatterns with a measured thickness corresponding to a single layer of fibrinogen. Dimensions of protein nanopatterns are controlled by selecting the diameter of mesospheres; results will be demonstrated using 100 and 500 nm periodicity. Protein patterning is a critical technology for the integration of biomolecules into miniature biological-electronic devices. Particle lithography provides a toolkit for fabricating regular arrangements of protein nanopatterns on flat surfaces, providing superb control of the spacing and sizes of nanostructures. With particle lithography, chemists can inexpensively produce robust, regular nanostructures using the conventional tools of mixing, centrifuging and drying. This approach can be applied for fundamental investigations of protein-binding interactions of biological systems in surface-bound bioassays and biosensor surfaces. By designing protocols for AFM studies in aqueous buffers, studies of protein-protein, protein-small molecule interactions and antigen-antibody binding can be accomplished for imaging the progressive evolution of surface changes during surface reactions.
9:00 AM - S5.05
Template Assisted Self-assembly of Multiscale Nanoparticle Cluster Arrays
Bjoern Reinhard 1 Bo Yan 1 Tianhong Chen 1 Yan Hong 1
1Boston University: The BU Department of Chemistry Boston USA
Show AbstractTemplate guided self-assembly approaches enable to assemble chemically defined building blocks, whose feature sizes can be significantly smaller than what can be realized with state-of-the-art top-down fabrication approaches, over large extended areas. We have used this approach to generate a multiscale electromagnetic material that integrates gold nanoparticle clusters of defined size into an extended deterministic arrangement. These Nanoparticle Cluster Arrays (NCAs) are fabricated through integration of colloidal nanoparticles of defined size and shape into pre-defined patterns generated by e-beam lithography. Since the fabrication approach does not rely on the e-beam to directly generate the nanoparticle structures but only to create the binding sizes, the template assisted assembly approach can overcome some of the limitations of conventional top-down fabrication methods with regard to minimum available feature size and structural complexity. NCAs can sustain near-field interactions between nanoparticles within individual clusters as well as between entire neighboring clusters. The availability of near-field interactions on multiple length scales, together with the ability to further enhance the coupled plasmon modes through photonic modes in carefully designed array morphologies, leads to a multiscale cascaded electromagnetic field enhancement throughout the array. This paper will introduce to the design and fabrication fundamentals of NCAs and characterize the electromagnetic coupling mechanisms in the arrays. Furthermore, it reviews how the optical properties of NCAs can be tuned through the size and shape of the nanoparticle building blocks and the geometry, size, and separation of the assembled clusters.
9:00 AM - S5.06
Three-dimensional Self-assembling of Gold Nanorods with Controlled Macroscopic Shape and Local Smectic B Order
Cyrille Hamon 1 Marie Postic 2 Elsa Mazari 3 Bizien Thomas 1 2 Christophe Dupuis 3 Pascale Even-Hernandez 1 Angela Jimenez 2 Laurent Courbin 2 Charlie Gosse 3 Franck Artzner 2 Valerie Marchi-Artzner 1
1Rennes 1 University Rennes France2Rennes 1 University Rennes France3Laboratoire de Photonique et de Nanostructures Marcoussis France
Show AbstractInorganic nanoparticles possess a range of tunable optical fluorescence or absorption properties depending on their chemical composition and their shape (semi-conductor (QD) and metallic gold) whereas the surface ligand can be optimized to tailor interactions with the surroundings. Their properties can be used individually or collectively within nanostructured materials (Henry. E, Dif. A et al Nano Lett 2011, 11, 5443). Metallic anisotropic gold nanorods exhibit original absorption properties of Surface Plasmon Resonance depending on their aspect ratio. These individual and ensemble optical properties, resulting from plasmonic coupling, make them promising candidates as buildings blocks for optical materials. Here gold nanorods were synthesized according to describe protocols and then functionalized with small PEGylated alkanethiolate. The efficiency of the ligand exchange was followed by the surface charge reversion of the gold nanorods observed with electrophoresis, zetametry and infra-red spectroscopy (Hamon.C et al, submitted). Here we describe a method of controlled evaporation on a textured substrate for self-assembling and shaping gold-nanorod-based materials (Hamon C et al ACS Nano 2012, 6, 4137). Tridimensional wall features are formed over areas as large as several square millimeters. Furthermore, analyses by small-angle X-ray scattering and scanning electron microscopy techniques demonstrate that colloids are locally ordered as a smectic B phase. Such crystallization is in fact possible because we could finely adjust the nanoparticle charge, knowledge that additionally enables tuning the lattice parameters. In the future, the type of ordered self-assemblies of gold nanorods we have prepared could be used for amplifying optical signals.
9:00 AM - S5.07
Highly Ordered Metal Oxide Nanorod Array with High Aspect-ratio via Block Copolymer Templating Process
Nanae Yamashita 1 Motonori Komura 1 Keiji Nagai 1 Tomokazu Iyoda 1
1Tokyo Institute of Technology Yokohama Japan
Show AbstractMicrophase-separated nanostructures in block copolymers have much attention for their potential as a structural template. The nano-sized periodic structures play a key role to lead functional nano materials as next-generation fundamental technology. We have developed amphiphilic diblock copolymer, PEOm-b-PMA(Az)n, consisting of poly(ethylene oxide) (PEO) and poly(methacrylate) bearing azobenzene in side-chain (PMA(Az)). As one of this polymer features, the PEO cylindrical microdomains are perpendicularly oriented to substrate with high aspect-ratio in PMA(Az) matrix without any treatments such as electric field, self-assembly guided by chemical patterning, and so on. Herein, we optimized easy fabrication process of high aspect-ratio SiO2 nanorod array up to 484 nm height in which 700-nm-thick block copolymer film was used as a template. Furthermore, we demonstrate this SiO2 nanorod array would be useful as a supporting materials, and size-separation film of polystyrene (PS) molecules having different molecular weight. PEO114-b-PMA(Az)59-coated Si wafer was immersed in SiO2 precursor, made of ethanol, silicate, water, and HCl, for 2 days. The polymer template was removed off by heating at 550 °C. The obtained SiO2 nanorod array perpendicular to the Si substrate was imaged by FE-SEM. The SiO2 nanorod array are 12 nm in diameter, 20 nm in center-to-center distance, and 1.6×1011 in surface density. Thus, microphase-separated nanostructure of the polymer template was completely transferred to the SiO2 nanorod array. The 43-nm-height SiO2 nanorod array were immersed in PS/THF solution with two kinds of different molecular weight; M=2,500 and M=189,000 g mol-1. After the immersion, the substrate was picked up and measured by UV spectra. It is indicated that the PS molecules with M=2,500 g mol-1 in size of 3-nm were selectively trapped between the SiO2 nano-sized spaces. On the other hands, the PS molecules with M=189,000 g mol-1 in size of 20-nm were excluded by the nano-spaces. This nanorod array would be not only supporting materials of catalysts but size-separation films of various molecules, DNA, as further applications.
9:00 AM - S5.08
Large-area, Highly Aligned Lamellar Block Copolymer Directed by Graphoepitaxially Assembled Cylinder Nanopatterns
Hyoung-Seok Moon 1 Dong Ok Shin 1 Bong Hoon Kim 1 Hyeong Min Jin 1 Sang Ouk Kim 1
1KAIST Daejeon Republic of Korea
Show AbstractWe present large-area, highly aligned lamellar block copolymer self-assembly directed by graphoepitaxially aligned cylinder block copolymer self-assembly. Asymmetric block copolymer thin films were graphoepitaxially assembled within 1-mu;m-wide parallel photoresist trenches to generate surface-parallel nanocylinder arrays. After the graphoepitaxial morphology was frozen by a radiative treatment, a thin film of symmetric block copolymer was deposited over the nanocylinder array, where the lamellar period was consistent with the period of the underlying cylinder array. Subsequent thermal annealing generated highly aligned lamellar morphology over a large-area without any trace of an underlying photoresist pattern. Our method employing surface-parallel cylinder self-assembly as a structure-directing chemical pattern for epitaxial self-assembly does not require any substrate surface pretreatment and is, thus, highly efficient for nanopatterning various substrates.
9:00 AM - S5.10
Solvent Vapour Induced Self-assembly of PS-b-P4VP Block Copolymer for Sub-20 nm Nanofabrication
Atul Chaudhari 1 2 Cian Cummins 1 2 Dipu Borah 1 2 3 Tandra Ghoshal 1 3 Benjamin Oamp;#8217;Driscoll 1 3 Parvaneh Mokarian 1 2 Justin Holmes 1 2 3 Michael Morris 1 2 3
1University College Cork Cork Ireland2Trinity College Dublin Dublin Ireland3University College Cork Cork Ireland
Show AbstractDiblock copolymer (BCP) self-assembly at interfaces enables the generation of nanoscale structures in a parallel, scalable, bottom-up fashion with vast applicability in the nanofabrication industry [1]. The high Flory-Hugginss parameter (chi; ~0.18) of PS-b-P4VP at room temperature makes it an ideal BCP system for self-assembly and template fabrication in comparison to other BCPs. Herein we report the microdomain orientation of PS-b-P4VP (20k-17k) BCP in thin film on silicon substrates without the aid of any chelating agents such as 3-pentadecylphenol (PDP). Such high chi; BCP system requires precise fine-tuning of interfacial energies achieved by surface treatment and that improves the wetting property, ordering, and minimizes defect densities. Substrates were modified with ethylene glycol self-assembled monolayer in a simple quick reproducible way. The BCP solution prepared in chloroform was deposited on modified substrates and solvent annealed in a saturated DMF. The surface treatment improved the wetting property of the BCP, pattern ordering and coverage with sub-20 nm features of the BCP. We further investigated the effect of experimental conditions such as film thickness; anneal solvent, time, temperature; etc., on self-assembly. (Keywords: Ethylene Glycol; Self-assembled Monolayer; PS-b-P4VP; Solvent Anneal; Self-assembly) References [1] D. Borah, M. T. Shaw, S.Rasappa, R. A. Farrell, C.O&’Mahony, C. M. Faulkner, M.Bosea, P. Gleeson, J. D. Holmes and M. A. Morris,J. Phys. D: Appl. Phys.,2011, 44, 174012.
9:00 AM - S5.11
Hierarchical Assemblies of PS-b-P4VP Block Copolymer for Nanofabrication
Cian Cummins 1 Atul Chaudhari 1 Dipu Borah 1 2 3 Benjamin O'Driscoll 1 3 Tandra Ghoshal 1 2 Parvaneh Mokarian-Tabari 1 2 Justin Holmes 1 2 3 Michael Morris 1 2 3
1University College Cork Cork Ireland2Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin Dublin Ireland3Tyndall National Institute, University College Cork Cork Ireland
Show AbstractFabrication of nanoscale patterns through the bottom-up approach of self-assembly of phase-separated block copolymers (BCP) holds promise in the microelectronics applications [1]. PS-b-P4VP is an ideal BCP system for self-assembly and template fabrication because to its high Flory-Huggins&’s parameter (chi; ~0.18) at room temperature in comparison to other BCPs. Dewetting is a major issue with BCPs with high chi; factor like in PS-b-P4VP, and that limits the pattern formation, ordering, correlation length and defect densities. We report here the microphase separation behaviour of an asymmetric PS-b-P4VP BCP on electronic substrates modified with 3-pentadecylphenol (PDP) brush and ethylene glycol self-assembled monolayer. The BCP solution prepared in toluene/THF solvent mixture was deposited on the modified substrates and solvent annealed in a saturated toluene/THF solvent mixture. Surface treatment improved the wetting property of the BCP, pattern ordering and coverage. To understand more precisely the dependence of the self-organization process to the experimental conditions, e.g., film thickness; anneal solvent, time, temperature; etc., were investigated. The results are promising, pertinent in the nanotechnology field and with vast applicability in the nanofabrication industry. (Keywords: PS-b-P4VP BCP; Brush; Self-assembled Monolayer; Solvent Anneal; Self-assembly) References [1] D. Borah, M. T. Shaw, S.Rasappa, R. A. Farrell, C.O&’Mahony, C. M. Faulkner, M.Bosea, P. Gleeson, J. D. Holmes and M. A. Morris,J. Phys. D: Appl. Phys.,2011, 44, 174012.
9:00 AM - S5.12
Rapid-prototype Pit Patterning for Directed Self-assembly of Ge Heteroepitaxial Quantum Dots
Chris Duska 1 Jerrold A Floro 1
1University of Virginia Charlottesville USA
Show AbstractThe use of quantum dots (QDs) in nanologic devices requires precise positioning and uniform size and shape. To take advantage of miniband formation or direct exchange coupling of confined carriers, interdot spacing at most in the range of tens of nanometers are required. This is exceedingly difficult in the Group IV system, since these length scales are below the thermodynamic length scales typically associated with strain-induced nucleation of Ge QDs. Pit-patterning to constrain Ge QD nucleation sites has been demonstrated using conventional and EUV lithographic techniques, as well as using focused ion beam milling to create pits. We use an FEI Helios dual beam Ga+ FIB to pattern a Si (001) surface with native oxide. Our current research emphasizes methods to tailor the shape and size of the resulting pits, including the beam parameters, selective wet-chemical etching of the FIB patterns is accomplished, and annealing in situ in the MBE. Furthermore, we are examining the lower limits of the pattern spacing, both in terms of the FIB pattern fidelity itself, and then on the Ge QD self-assembly process as a function of growth conditions. Pit spacings we have examined range from 20 - 100 nm. Pit depths range from 0.5 to 6 nm depending on the initial FIB dose and number of subsequent chemical etch cycles. Additional pit evolution occurs during high temperature processing prior to Ge MBE due to annealing and Si surface diffusion. We will discuss Ge QD formation over the range of length scales investigated, and coverage uniformity. Support from the II-VI Foundation is gratefully acknowledged. Research performed in part at the NIST Center for Nanoscale Science and Technology.
9:00 AM - S5.13
Magnetically Aligned Block Copolymer Membranes for Nanoporous Templates
Manesh Gopinadhan 1 Pawel Majewski 1 Prashant Deshmukh 2 Rajeswari Kasi 2 Chinedum O Osuji 1
1Yale University New Haven USA2University of Connecticut Storrs USA
Show AbstractMagnetic fields have been shown to be efficient to orient both lamellar and cylinder forming block copolymer nanostructures generating macroscopically large monodomains of appropriate length scales. Here we present magnetic field directed self-assembly of two distinct cylinder forming liquid crystalline block copolymer materials where the minority blocks are amenable to selective removal or etching. The first system forms a weakly segregated acid-cleavable poly(ethylene oxide-b-methyl acrylate) EO-b-MA/LC block copolymer, where the methyl acrylate block has been side functionalized by a ciano-biphenyl mesogen. The second system is a strongly segregated brush block copolymer material with polynorbonene backbone where PLA brush forms the minority phase and a ciano-biphenyl species forms the liquid crystalline block (NBPLA-b-NBLC). For the EO-b-MA/LC system, due to high molecular weight (30K) the magnetic field displays a weak effect as judged from the in-situ SAXS, while the brush system was not susceptible to magnetic field alignment due to large separation of LC clearing transition and order-disorder transition temperatures. Surprisingly, addition of a small amount of free ciano-biphenyl mesogens induces strong and fast alignment of microdomains of these materials under 6T magnetic field. The minority domains are subsequently cleaved or etched away from the aligned block copolymers by chemical means generating highly aligned nanoporous materials which could potentially serve as templates for the synthesis of nanomaterials. Magnetic field assisted alignment thus offer a facile route of controlling porosity, dimension and aspect ratios by changing the molecular weight and the thickness of these block copolymer systems .
9:00 AM - S5.14
The Microdomain Structure of Triblock Type-urethane Oligomer Thin Films
Ken Kojio 1 Yuko Ishikuma 1 Suguru Motokusho 1 Kohji Yoshinaga 1
1Nagasaki University Nagasaki Japan
Show AbstractPolymer thin films are expected to apply for electric devices, for instance, a memory device. To realize this, it is indispensable for the film to possess a precisely controlled structure. If thin films are prepared with triblock-type oligourethane (OU), which bears the flexible soft segment at the center and the hard segment at the ends, it is expected that the thin OU films form the microdomain structure with the high ordering structure. 1,6-hexamethylene diisocyanate (HDI) and 4,4&’-diphenylmethane diisocyanate (MDI)-based triblock type-olygourethane (OU) was synthesized with poly(oxypropylene) glycol (PPG) and 1,4-butanediol. A microdomain structure of these thin films was investigated using atomic force microscopy (AFM) and grazing incident small-angle x-ray scattering (GISAXS). HDI-based OU thin films exhibited cylindrical hard domain structure even at the lower hard segment content. In contrast, MDI-based OU thin films showed spherical hard segment segment domains. Furthermore, the interdomian spacing of hard segment domains for MDI-based OU thin films decreased with decreasing film thickness. These results are explained well with aggregation force of hard segment blocks.
9:00 AM - S5.15
Ordered Nanopores by Metal Assisted Etching and Diblock Copolymers
Luca Boarino 1 Natascia De Leo 1 Matteo Fretto 1 Michele Perego 2 Michele Laus 3 Katia Sparnacci 3
1INRiM Torino Italy2IMM-CNR Agrate Brianza (MB) Italy3Universitamp;#224; del Piemonte Orientale Amedeo Avogadro Alessandria Italy
Show AbstractSince the discovery and development of metal assisted etching [1] it has been clear that the surface metal patterning is the principal responsible of the final pore morphology. In this kind of electroless etching infact, the predominant parameters are the redox potential of the surface metal respect to silicon, the metal thickness and its distribution on the surface, and the HF to H2O2 ratio in solution [2]. Metal nanopatterning of a silicon wafer surface can be obtained in several ways, such as optical lithography and nanolithography. However, both techniques present limits, as the latter that, although allowing to push down resolution to tens of nanometer, is time-consuming in order to achieve square millimeters of printed area, since the electron beam lithography is essentially a sequential process. In a previous work, we demonstrated the feasibility of a metal patterning process based on polystyrene nanosphere self-assembly and propagation to the bulk silicon by MAE [3] on the macro porosity range, but the same approach failed trying to extend it to the mesoscale. In this work, the metal patterning by means of a mask of Block Copolymers (BC) and MAE allows the formation of regular nanopores on any type of silicon substrates and with holes diameter under 50 nm. Self-assembled structures in form of PMMA cylinders embedded in a PS matrix with a diameter of 20 nm and a pitch of 33 nm have been obtained with diblock copolymers polystyrene-b-poly(methylmethacrylate) (PS-b-PMMA) with a styrene fraction of 0.71, Mn=67100 and PDI 1.09 . When deposited in the form of thin film, these BC naturally self-organize upon annealing, and form a PS matrix with hexagonally close-packed PMMA cylinders perpendicularly oriented with respect to the substrate [4]. By means of e-guns evaporation, a gold layer of 10 nm has been deposited onto the BC mask and into the cylinders, reaching the silicon substrate. Metal Assisted Etching (MAE) has been performed with times ranging from 30 s to 1 min at 60° C in a solution of HF:H2O2:H2O 22%:9%:69% in volume [2] . Ordered and regular pores of diameters around 20 nm have been obtained with good uniformity and with aspect ratios comparable to DRIE etching. The surface finishing of the original wafer is maintained after the MAE thanks to the BC passivation. Refences: [1] S. Chattopadhyay, X. Li, and P. W. Bohn, J. Appl. Phys. 91, 6134 (2002). [2] S. Bastide, C. Chartier, and C. Levy-Clement, Electrochim. Acta 53, 5509 (2008). [3] L. Boarino, E. Enrico, N. De Leo, F. Celegato, P. Tiberto, K. Sparnacci, M. Laus, Physica Status Solidi A, 208, 6 1403-1406 (2011) [4] A. Andreozzi, G. Seguini, E. Poliani, M. Perego, Nanotechnology 22, 185304 (2011)
9:00 AM - S5.16
Controlling Directed Self-assembly and Metal-oxide Templating by Confinement
Avigail Keller 1 Tamar Segal-Perez 1 Gitti Frey 1
1Technion Haifa Israel
Show AbstractMesostructured materials are used in diverse applications including separation technologies, heterogeneous catalysis, drug release, and photonics. These materials are synthesised through two concorent processes: surfacatnt self-assembly and metal-oxide polymerisation. Under such conditions, the self-organized surfactant liquid-crystalline-phases template the polymerizing amorphous metal-oxide scaffolds. The type of mesophase deposited from sol-gel solutions, i.e lamellar, cubic or hexagonal, depends on the precursor solution composition, relative humidity and the deposition technique. The orientation of the mesophases is determined by the substrate and the deposition technique with the 2D phases, i.e. lamellar and hexagonal, mainly formed parallel to the substrate. Because the vertical alignment of the 2D mesophases is desirable for many applications, it is important to attain control over orientation during the directed self-assembly process. Here we show that the orientation of hexagonally ordered mesostrucutered silica could be controlled by confinement. Mesostructure synthesis in a confined environment is studied by soaking an anodized alumina membrane (AAM) with the precursor solution, resulting in the formation of the mesostructures inside the d~200 nm membrane channels. Characterization of the type and orientation of the mesostructure formed in the membrane was performed using small angle X-ray scattering and transmission electron microscopy to identify channel-filling, phase and orientation. It was found that confinement gives rise to unique channel-imposed arrangements including circular hexagonal, vertical hexagonal and concentric lamella. Importantly, while the channel-size did not affect the orientation of the hexagonal phase, the channel shape strongly influenced the orientation with faceted channels promoting the vertical orientation.Finally, chemical treatment of the channel walls prior to mesostructure deposition is shown to impose concentration gradients inside the pores which were then used to control the orientation and induce the favorable vertical alignment. More specifically, the concentration of protons in the channel was controlled by chemically treating the channel walls by either oxygen plasma or deposition of a “fresh” alumina layer by atomic layer deposition (ALD). This concentration determines the rate of silica condensation and hence the stage at which the organic mesophase is fixed by the polymerizing inorganic scaffold. Under such conditions transformations between organic mesophases can be stalled or enhanced to direct the templating of inorganic mesostructures in preferred and useful orientations.
9:00 AM - S5.17
Novel Thin Film Morphologies from an ABC Miktoarm Star Terpolymer
Hong Kyoon Choi 1 Adam Nunns 2 Ian Manners 2 Caroline Ross 1
1MIT Cambridge USA2University of Bristol Bristol United Kingdom
Show AbstractThin film self-assembled nanostructures of block copolymers have been widely studied for decades because they offer a simple and low cost nano-patterning methodology. Among the many types of block copolymer, miktoarm star terpolymers are known to form a range of Archimedean tiling patterns in bulk with geometry that depends on the volume fractions of the three blocks. However, the high complexity of structures and difficulties in synthesis have limited the theoretical and experimental study of such ABC star polymers. In this work, we synthesized polyisoprene(PI)/ polysyrene(PS)/ polyferrocenlysilane (PFS) star terpolymer in a core-first route by using styrene as a core molecule. We report here thin film morphologies of the PI-PS-PFS star terpolymer which were explored using solvent vapor annealing. Because ABC star terpolymers can form a large number of morphologies, small changes of volume fraction can drive phase transition. Therefore, it is expected that various thin film morphologies can be achieved from one star terpolymer by changing the solvent annealing conditions which leads to different levels of swelling of the blocks. The as-synthesized PI-PS-PFS (ISF) star terpolymer had volume fractions of 39:35:26 and molecular weight of 66 kg/mol. The bulk morphology of this polymer showed an Octagon-Octagon-Tetragon (OOT) morphology after thermal annealing, in which the PFS formed octagonal microdomains in square lattices. A solution of 0.85% of ISF blended with 0.15% of PS homopolymer in toluene was spin coated on silicon wafers to produce thin films of the star terpolymer. The films were annealed in chloroform vapor using a flow-controlled solvent vapor annealing system in which the solvent vapor pressure can be controlled by dilution with a carrier gas, and etched in oxygen to show the morphology. PFS is etch-resistant and PI was stained with osmium tetraoxide. Similar to the bulk morphology, the thin film morphology of the ISF annealed in intermediate chloroform vapor pressures showed an OOT pattern. However, a change of solvent vapor pressure induced a change in the effective volume fractions of each block and it drove a morphological transition. As a result, we obtained various thin film morphologies such as three-color lamellae, OOT, a ‘radiation pattern&’, a core-shell hexagonal pattern, and lamellae with beads from the same terpolymer. This is the first demonstration of patterns made from thin film of a star triblock terpolymer and reveals a variety of morphologies that are unobtainable from diblock copolymers or linear triblock terpolymers.
9:00 AM - S5.18
Reusable Damascene Templates for High-rate Directed Assembly and Transfer of Nanoelements
Hanchul Cho 2 1 Sivasubramanian Somu 2 1 Huang Jun 2 1 Jungho Seo 2 1 Ahmed Busnaina 2 1
1Northeastern University Boston USA2Center for High-rate Nanomanufacturing Boston USA
Show AbstractAssembly of nanoelements on a template with precise alignment and orientation followed by transfer to a recipient substrate is expected to accelerate large-scale production of nano scale devices such as transistor, biosensor and chemical sensors. Various templates fabricated through bottom up and top down processes and assembly techniques have been used for directed assembly and transfer of nanoelements to achieve desired architectures on flexible substrates and rigid substrates. However, absence of extremely versatile and reusable templates for high throughput directed assembly and transfer have hindered the progress of fabricating devices using nanoelements. Herein, we report on the development a reusable damascene template fabricated by micro/nano fabrication process and chemical mechanical polishing technique for achieving a high throughput manufacturing of flexible devices. In these damascene templates, a conductive film underneath connects all conductive nanowires to each other and its surface is perfectly flat. These features ensure that damascene template has equipotential on all the nano and microwires during the electrophoresis assembly, which provides high rate assembly with exceptional uniformity. Also, this template can be repeatedly used thousands of times with minimal/no damage and involves no intermediate processes between the assembly and transfer cycles. Using this template along with electrophoresis assembly, we have assembled nanoparticles (polystyrene latex particles and silica particles) and single wall carbon nanotubes (SWNTs) on micro and nanoscale conductive wires with good uniformity by controlling the assembly parameters such as voltage, concentration of solution and pulling speed. Assembled SWNTs were then transferred onto polycarbonate film as well as polyethylene naphthalate film by printing transfer method. The transfer yield was close to 99% and transferred SWNTs showed excellent electrical properties. These results show that these damascene templates combined with electrophoresis assembly enable high rate and continuous manufacturing systems for flexible device fabrication.
9:00 AM - S5.19
Controlled Solvent Vapor Annealing of Polystyrene-b-Polyethylene Oxide Thin Films in Water and Toluene Atmospheres
Brian Stahl 1 Edward J. Kramer 1 Craig J. Hawker 1
1University of California, Santa Barbara Santa Barbara USA
Show AbstractBlock copolymer thin films are being actively explored for a range of industrial applications. In many cases these films require post-deposition annealing to facilitate self-assembly and reduce defect density. Compared to thermal annealing, solvent annealing is a relatively new technique that offers several advantages including room-temperature processing, control over the microstructural orientation and surface wetting, and the ability to anneal block copolymers not amenable to thermal processing. We present a controlled process design for performing solvent annealing that incorporates well-controlled concentrations of multiple simultaneous co-solvents and in-situ metrology. This method is modular, applicable to a wide variety of block copolymer/solvent systems, and allows for greater reproducibility, stability, and control over the solvent annealing process parameters. We have investigated in detail the effects of annealing and quenching conditions on the morphology of cylinder-forming polystyrene-b-polyethylene oxide (PS-b-PEO) thin films annealed at ambient temperature in an atmosphere with controlled saturations of toluene and water solvent vapors. Samples were characterized ex-situ with atomic force microscopy, transmission electron microscopy, and grazing-incidence small-angle X-ray scattering. Quenching conditions are crucial to achieving the desired microstructural orientation; there is a threshold for water vapor saturation during quenching below which the morphology consists of in-plane PEO cylinders, whereas above this threshold the PEO cylinders are aligned perpendicular to the substrate and hexagonally packed with good long-range order. We are also able to tune the PEO domain spacing in films displaying perpendicularly-oriented cylinders over a wide range solely by controlling the saturation of water vapor during the annealing process. This new approach to solvent annealing provides control over the relevant solvent annealing process parameters and allows a fundamental understanding of the block copolymer self-assembly process to be developed, making solvent annealing more relevant to industrial applications.
9:00 AM - S5.20
Self-assembly of Block Copolymers in Noisy Graphoepitaxial Templates
Hsieh Chen 1 Kevin Gotrik 1 Adam Floyd Hannon 1 Caroline Ross 1 Alfredo Alexander-Katz 1
1MIT Cambridge USA
Show AbstractGraphoepitaxial templates have been used successfully to guide block copolymer thin films to form long-range order structures on the full wafer scale. In this study, we use self-consistent field theory methodology to address the effect of the template accuracy on the tendency for the block copolymers to form long-range ordered patterns. Our model system consists of diblock copolymers films that self-assemble into hexagonally packed spheres with the help of guiding posts. Such a template has been shown to give rise to very long range order structures and due to the fact that it has been thoroughly studied it is a good starting point to analyze the effect of inaccurate templates on the overall order parameter. To explore such scenario, we define a perfect hexagonal lattice and place the posts on this lattice. To include the effect of noise, we displace each post by a random distance that satisfies white noise statistics, such that we can control the degree of imperfection by simply changing the variance of the white noise source. By computing the free energy and the order parameter defined as the sum of the structure factor, we can analyze the transitions from the ordered to the disordered state. When increasing the template inaccuracy the long-range order of the block copolymer exhibits two distinct transitions from the order to the disorder phase that are controlled by the strain of the lattice and the coexistence of two or more equilibrium phases. In the case of zero or small strains around the equilibrium ordered structure, the loss of long-range order is a gradual process that appears to be similar in fashion to a second order transition. On the other hand, under conditions in which the template imposes a strain and there are two competing morphologies that can accommodate such strain the transition in the presence of noise is abrupt and occurs at much smaller values of the noise variance. In fact, a 3% deviation from the perfectly ordered location is enough to completely disorder the system. Such transitions seem to be first order. These results provide a guiding scheme for important lithography applications where directed self-assembly is used.
9:00 AM - S5.21
Directed Assembly of 1- and 2-Dimensional Nanoparticle Arrays by Pulsed Laser Induced Dewetting of Simple Geometric Patterns
Nick Roberts 1 2 Jason D. Fowlkes 3 Philip D. Rack 2 3
1Omniprobe, Inc. Dallas USA2University of Tennessee Knoxville USA3Oak Ridge National Laboratory Oak Ridge USA
Show AbstractPulsed laser induced dewetting (PLiD) is used to assemble 1- and 2-dimensional arrays of highly ordered nanoparticle arrays. Synthetic square wave perturbations on thin metallic patterned strips are shown to drastically improve uniformity of nanoparticle size and spacing when subjected to pulsed laser irradiation leading to liquid phase dewetting. The square wave perturbations show comparable arrays in terms of uniformity of particle size and spacing to the work of Fowlkes et al. [1]. One advantage of the square wave perturbation appears to be the ability to produce particle arrays within the stable regime of the Rayleigh-Plateau distribution. This apparent ability is due to shorter time scales associated with the instability of the square wave. Particle spacing is controlled by the perturbation wavelength while the perturbation amplitude and width as well as the film thickness control the particle size. 2-dimensional arrays are also achieved with minimal modification to the patterns due to the simple geometry patterned to produce the nanoparticle arrays. Closely spaced 1- and 2-dimensional arrays are important for plasmonic and magnetic coupling applications including sensing and data storage, respectively. Research supported by the US Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division (JDF, PDR) and Scientific User Facilities Division and performed in part at the Center for Nanophase Materials Sciences (NAR). 1. Fowlkes, J.D., et al., Self-assembly versus directed assembly of nanoparticles via pulsed laser induced dewetting of patterened metal films. Nano Lett., 2011. 11(2478).
9:00 AM - S5.22
Bottom-up Nanoengineering by Combining Directed Self-assembly and Inkjet Printing
Enrico Sowade 1 Christian Belgardt 2 Thomas Blaudeck 3 4 Reinhard R. Baumann 1 5
1Chemnitz Univ Tech Chemnitz Germany2Chemnitz Univ Tech Chemnitz Germany3Chemnitz Univ Tech Chemnitz Germany4Linkamp;#246;ping Univ Norrkamp;#246;ping Sweden5Fraunhofer ENAS Chemnitz Germany
Show AbstractIn the last decade, directed self-assembly (DSA) has emerged as a research area devoted to the challenges of surface patterning in microelectronic processing. The typical motivation point for DSA-based manufacturing concepts are the physical resolution limits of microelectronic manufacturing like photolithography, but also a lower energy consumption and a better scalability than traditional microelectronic methods can be named as driving forces. In our contribution, we summarize recent case studies in which we combined DSA and inkjet printing for molecular surface patterning, for deposition of nanometer-sized building blocks, and for manufacturing of organic electronic devices. Drop-on-demand inkjet printing has evolved a scalable manufacturing tool to generate patterned films with not only graphical, but also electronic or other advanced functionality. The nature of inkjet printing is digital and scalable, which allows for instance roll-to-roll compatibility in the field of printed electronics. A first study refers to a concept of an inkjet-based molecular patterning of silicon oxide surfaces mediated by n-octadecyltrichlorosilane (OTS). In contrast to state-of-the-art techniques such as micro contact printing or growth of self-assembled monolayers from the chemical bath with subsequent photo-induced or electron-beam lithography, drop-on-demand inkjet printing allows a direct-write patterning of substrates, both rigid and flexible ones. As it does not depend on physical masters, this technique enables short run-lengths and individualized patterns. However, due to the physicochemical constraints such as a limited contact time of the OTS solution with the substrate, there are limits of the layer quality. Here, the introduction of a quality parameter allows a quantitative comparison with the methods from the chemical bath. A second study regards inkjet printing as scalable nanofabrication method for the deposition of ordered colloidal aggregates. The approach is based on a combination of drop-on-demand inkjet printing and DSA conjecturally inside an in-flight drying inkjet drop, allowing patterned deposition of the colloidal aggregates independent of the surface properties of the substrate [1]. In a final case study, we reflect the usage of capillary forces during the manufacturing process of multi-layered printed organic electrochemical transistors [2]. [1] E. Sowade et al., Adv. Eng. Mater. 2012, 1-2, 98-100. [2] T. Blaudeck et al., Adv. Funct. Mater. 2012 (doi: 10.1002/adfm.201102827, published online April 18, 2012).
9:00 AM - S5.23
Fabrication of Nanostructured Films through Combination of Self-assembling Materials
Jin Wook Lee 1 Su Yeon Choi 1 Won Ho Kim 1 Seung Hyun Kim 1 Ji Ho Youk 1
1Inha Univ Incheon Republic of Korea
Show AbstractThe fabrication of surfaces with nanometer-scale is considerable interest for a wide range of applications in electronic, magnetic, chemical, and biological sensors. In this work, we seek to find out new yet simple way to nanofabrication via sequential applications of two different self-assembling materials. Block copolymer, one class of self-assembling materials, spontaneously self-assemble into various structures on the nanometer scale. The resulting structure and size of self-assembled domains of block copolymers can be tuned by block composition and its molecular weight, which enables to use block copolymers as templates for synthesis of nanostructured materials. Colloidal particles are another type of self-assembling materials, which produces highly ordered colloidal crystals via self-assembly driven by capillary force or convection flow. Generally used techniques for self-assembly of colloidal crystals are stand on basis of capillary, electrostatic, gravitational force and pressure and flow that can be induced by electric, magnetic and gravitational field. The use of dip-drawing method permits one to control the thickness of colloidal crystals. Here, nanopatterns generated by colloidal lithography and plasma etching technique are used to control nanostructure of block copolymers in thin film. First, PS colloidal particles are deposited on the substrate to form colloidal crystal, and oxygen plasma is used to control the size of colloidal particles. Then block copolymers are coated on such colloidal crystal templates so that they are able to guide block copolymer self-assembly. Combination of two self-assembling materials with variation in characteristic size of templates was shown to lead to the morphological change of block copolymers. Especially, the size of colloidal crystals that are used for guidance of block copolymer self-assembly strongly affects the nanopatterns of block copolymers. This approach provides efficient way to guide block copolymer self-assembly without use of top-down approach that would be expensive and inaccessible on the nanometer scale.
9:00 AM - S5.24
Unique Magnetic Interaction for Precision Assembling of Arrays of Nanoelectromechanical (NEMS) Devices
Kwanoh Kim 1 Donglei Fan 2 1
1University of Texas at Austin Austin USA2University of Texas at Austin Austin USA
Show AbstractRecently bottom-up assembly of Micro/Nano Electromechanical System (MEMS/NEMS) devices from nanoscale building blocks has attracted intense interest. In this work, we report innovative mechanisms for precision assembling and actuation of arrays of nanowire NEMS devices that can synchronously oscillate between two designated positions for over 4000 cycles. The assembly and actuation mechanisms are based on unique magnetic interactions between nanoentities with perpendicular magnetic anisotropy and electric-tweezers manipulation—our recent invention. These new mechanisms are expected to inspire new in-situ fabrication and actuation strategies in the general field of NEMS devices such as nanomechanical switches for turning on/off nanocruits and nanoresonators for biochemical sensors and radio-frequency communication.
9:00 AM - S5.26
Anisotropic DNA Conjugated Nanoparticles: Facile Synthesis and Directed Self-assembly
Li Huey Tan 1 Yi Lu 1
1University of Illinois at Urbana-Champaign Urbana USA
Show AbstractRecent spurt of development in nanotechnology has enabled the development of nanoparticles with novel optical, electrical and chemical properties useful for a wide range of applications. There is a growing field in assembling these nanoparticles into more complex structures, analogous to assemblies demonstrated in Nature such as formation of virus capsids or amyloid fibers from small protein aggregates. A key factor in achieving such complex self-assembled structures as demonstrated in Nature is the use of anisotropic or chiral compounds as building blocks in biological systems. To mimic such assemblies, it is important to be able to synthesize asymmetric nanoparticle building blocks. However, syntheses of these type of particles are still limited and of great challenge. Precedent reports in using asymmetrical deoxyribonucleic acids (DNA)-particle hybrids have demonstrated some success in attaining programmable assemblies. Hence, to address the current barrier to create complex and programmable assemblies, we here report a facile and efficient method to synthesize nanoparticles with precise control of anisotropicity and programmable functionality (i.e. specific and localized functionalization of DNA). This one-pot colloidal method relies on ligand competition to introduce anisotropicity on gold nanoparticles in a tunable manner with excellent yields. The selectivity of the assembly can be controlled by selective functionalization of the particle with DNA strands. We have demonstrated (1) the successful DNA-aided synthesis of anisotropic NPs with high yield, (2) site selective functionalization with DNA where only a specific area of the particle is functionalized (3) high density of DNA functionalization with 120 ± 20 strands regioselectively functionalized on each particle, (4) controllable directional hybridization of the nanoparticle to form programmable assemblies of various combinations of particles into clusters, dimers, snowman, dog paw and flower like assemblies. With high yields and ease of the synthesis of anisotropically functionalized nanoparticle, we believe this method would revolutionize the nanoparticle field to explore anisotropic and selective assemblies. Due to the unique structural features of the anisotropic nanoparticle, the specificity and programmability would be promising platforms in the nanoassembly field to perform even more complex assemblies in a bottom up manner bringing us a step closer to the system achieved in Nature.
9:00 AM - S5.27
Self-organization of Linearly-arranged Cell-like Patterns on Sol-gel Dip-coating Films Induced by Beacute;nard-Marangoni Convection
Hiroaki Uchiyama 1 Yuto Mantani 1 Hiromitsu Kozuka 1
1Kansai University Osaka Japan
Show AbstractSol-gel coating processes are widely used as the methods for preparing metal oxide films, where micrometer-scaled patterns such as unevenness in thickness or ridges are formed on the surface of the films under certain conditions [1]. Cell-like Bénard-Marangoni convection occurring in the coating layer during solvent evaporation is known as one of the important factors for the pattern formation on sol-gel films [2-3]. Such spontaneous pattern formation on sol-gel films induced by Bénard-Marangoni convection is regarded as a self-organization process, and the surface structure thus obtained holds great promise for the application to photonic devices such as diffraction gratings and microlens arrays. Here, we examined the evolution of surface patterns on alkxoide-derived silica films during solvent evaporation, and evaluated the size and shape of the patterns. Starting solutions of molar compositions, Si(OCH3)4 : H2O : HNO3 : CH3OCH2CH2OH : poly(vinylpyrrolidone) (PVP) = 1 : 2 : 0.01 : 14.8 : 0.7, were prepared at room temperature, and served as coating solutions for dip-coating, where PVP of average viscosity molecular weight of 630,000 was employed and the mole ratio for PVP is monomer equivalent. Silica gel films were deposited on Si(100) substrates by dip-coating where the substrates were withdrawn at 50 cm/min. The dip-coating was performed in a thermostatic oven at 298-373 K. The thickness of the silica films increased from ca. 2.8 mu;m to ca. 7.0 mu;m with increasing coating temperature. Smooth surface was found in the silica film prepared at 298 K. On the other hand, cell-like patterns were formed on the surface of the films prepared at 313-373 K, where the cells arranged parallel to the withdrawal direction. The center and edge of a cell were depressed and elevated, respectively. The height of cell-like patterns increased from ca. 0.02 mu;m to ca. 1.2 mu;m, and the width decreased from ca. 200 mu;m to ca. 170 mu;m with increasing coating temperature. Such surface patterns could be caused by Bénard-Marangoni convection due to the surface tension inhomogeneity in sol layers induced by the solvent evaporation. Here, the increase in the coating temperature activates the convection in the solution layer, which promotes the local surface elevation via solution flow, consequently leading to the formation of cell-like patterns of larger heights. On the other hand, the number of the convections per unit volume increased with increasing coating temperature, resulting in the decrease in the width of the patterns. References: [1] H. Kozuka, M. Hirano, J. Sol-Gel Sci. Technol., 19, 501 (2000). [2] H. Uchiyama, W. Namba, H. Kozuka, Langmuir, 26, 11479 (2010). [3] H. Uchiyama, Y. Miki, Y. Mantani, H. Kozuka, J. Phys. Chem. C, 116, 939 (2012).
9:00 AM - S5.28
Large Scale Micro- and Nano-structuring of Azobenzene-containing Polymer Films
Antonio Ambrosio 1 Pasqualino Maddalena 1 Andrea Camposeo 2 Dario Pisignano 2 Fabio Borbone 3 Antonio Roviello 3
1CNR-SPIN and Dipartimento di Scienze Fisiche, Universitamp;#224; degli studi di Napoli Federico II Napoli Italy2NNL, Istituto Nanoscienze-CNR and Dipartimento di Ingegneria dellamp;#8217;Innovazione, Universitamp;#224; del Salento, via Arnesano, I- 73100 Lecce Italy3Dipartimento di Scienze Chimiche, Universitamp;#224; degli Studi di Napoli Federico II, Complesso Universitario di Monte Santamp;#8217;Angelo, Via Cintia, I-80126 Napoli Italy
Show AbstractThe possibility of fully-optical structuring of azo-polymers films surface has recently attracted interest for its potential application in optoelectronics. For instance, Ubukata et al. [1] have reported on the fabrication of a double-layered distributed feedback (DFB) laser based on a diffractive element constituted by a one- dimensionally structured azo-polymer, obtained by exposing to the interference pattern of two visible laser beams from an Argon ions laser. In fact, mixing active compounds with azobenzene molecules or polymers can represent a strategic way for obtaining systems that combine patterning capability (through the azobenzene photo-isomerization) with light emission. Our results show the possibility to pattern the mixture by means of a laser scanning technique, thus realizing photoluminescent features whose shapes and spatial distribution can be arranged by exploiting the light-polarization dependence of the mass migration process. The mass migration phenomenon occurring on the free surface of azobenzene-containing polymers illuminated by light of appropriate wavelength is employed to pattern polymeric films constituted by an azo-polymer containing a photoluminescent chromophore. Different topographical features are obtained by adjusting the laser scanning parameters, in particular the laser polarization direction [2]. Furthermore, we report about the possibility to drive mass migration into an azo-based polymer by means of two-photon absorption. For this experiment, the azo-polymer we used (PU-AS-Y-DCV) is a polyurethane obtained containing, in the main chain, a symmetric azo-derivative of 4-(dicyanomethylene)-2-methyl-6-[p- (dimethylamino)-styryl]-4H-pyran (DCM. Our azo-chromophore, characterized by a symmetric donor-acceptor- donor π-conjugated structure, is expected to show good TPA features. It is, in fact, well known that a symmetric groups distribution into a molecule may favor TPA properties due to symmetric charge transfer from the end of the molecule to the middle. The samples we used for TPL are high optical quality films, with thickness of 550 nm, prepared by spin coating a pyridine solution (polymer concentration 5% wt) on glass coverslips. By this way we have realized structures down to 250 nm wide employing the diffraction limited spot of the 800 nm wavelength pulsed laser, far below the half-wavelength diffraction limit of the focused laser beam [4]. [1] T. Ubukata, T. Isoshime, and M. Hara, Adv. Mater. 17, 1630 (2005); [2]. Ambrosio, A. Camposeo, A. Carella, F. Borbone, D. Pisignano, A. Roviello, P. Maddalena, Journal of Applied Physics 107, 083110 (2010) [3] A. Ambrosio, E. Orabona, P. Maddalena, A. Camposeo, M. Polo, A.A.R. Neves, D. Pisignano, A. Carella, F. Borbone, A. Roviello, Applied Physics Letters 94, 011115 (2009). [4] A. Ambrosio, P. Maddalena, A. Carella, F. Borbone, A. Roviello, M. Polo, A. A. R. Neves, A. Camposeo, D. Pisignano, Journal of Physical Chemistry C 115, 13566 (2011)
9:00 AM - S5.29
Atomistic Modeling of the Directed-assembly of Metal Nanoclusters on Ru(0001)-supported Graphene
Yong Han 1 Lyle D. Roelofs 2 Albert K. Engstfeld 3 R. Juergen Behm 3 James W Evans 1
1Iowa State University Ames USA2Berea College Berea USA3Ulm University Ulm Germany
Show AbstractDirected-assembly of a periodic two-dimensional array of metal nanoclusters (NC&’s) can be achieved by metal deposition on a single layer of graphene supported on Ru(0001). The moiré structure of the buckled graphene sheet guides this assembly. Thus, the diffusive motion of metal adatoms during NC nucleation and growth incorporates directional bias and correlations reflecting “coarse” periodic modulation across the moiré cell of: (i) the binding energy at adsorption sites on the graphene sheet, and (ii) the transition state energies for hops between neighboring sites. We incorporate these features into atomistic lattice-gas modeling for single- or multi-component deposition which is based on a (12x12)C/(11x11)Ru moiré cell structure and a “point island” model for NC&’s (appropriate for lower coverages). Model behavior is analyzed by Kinetic Monte Carlo simulation. We thereby elucidate the kinetics of NC formation and characterize the development of short-range spatial-order within the NC array.
9:00 AM - S5.30
Molecular-level Studies of Cobaltacarborane Porphyrin Nanostructures Investigated with Atomic Force Microscopy
Venetia D Lyles 1 Wilson K Serem 1 Erhong Hao 1 M. Graca H Vicente 1 Jayne C Garno 1
1Louisiana State University Baton Rouge USA
Show AbstractAmong the challenges of molecular electronics, a clear problem is presented in that there are few methods of positioning molecules on a nanometer scale that will facilitate functional electronic devices. Particularly for molecular systems such as porphyrins and phthalocyanines, self-aggregation causes spontaneous assembly of aggregates and clusters to form, rather than well-organized arrangements with uniform dimension. Our strategy is to use nanolithography to create well-defined test platforms of cobaltacarborane porphyrins for surface measurements. Using particle lithography, planar arrays of porphyrin nanostructures were prepared within an insulating matrix layer of n-alkylsiloxanes surrounding the nanopatterns. Porphyrins and metalloporphyrins are highly conjugated molecular systems that have interesting photophysical and electronic properties. The electronic and optical properties of the molecules strongly depend on the surface arrangement and orientation. The macrocycle of porphyrins and metalloporphyrins consist of a tetrapyrrole structure which can be functionalized with different substituents. A new series of porphyrin cobaltacarborane conjugates bearing between 2 and 8 cobaltabisdicarbollide anions per porphyrin macrocycle, were investigated using conductive probe atomic force microscopy (CP-AFM). Particle lithography was used to prepare test structures to provide reliable comparisons between different molecular architectures. Combining nanolithography with conductive probe measurements has advantages for rapidly constructing and testing the conductive properties of molecular systems, such as porphyrins. Particle lithography with monodisperse mesospheres of latex or silica provides a generic approach for preparing nanopatterns on surfaces. The spheres are removed from substrates by steps of rinsing and sonication. Different size particles provide a means to control the spacing, density, surface coverage, and sizes of the porphyrin nanostructures. Our strategy for calibrating conductive probe measurements incorporates a silane matrix as a reference, for standardizing measurements between different experiments. Two types of information are provided with CP-AFM: current maps of the conductivity of samples can be acquired at a fixed sample bias; and current-voltage spectra can be obtained by sweeping a certain voltage range. Advancement of molecule-based electronic systems will require the ability to achieve reliable and precise measurements of conductance and charge transport for nano-sized molecular test structures. It is predicted that quantum effects in electronic conduction will be observed as the size of electronic devices approach molecular scales. Accurate and precise electronic property measurements will provide insight of the fundamental mechanisms that give rise to properties such as resistance and rectification with changes in chemical structure.
9:00 AM - S5.31
Surface-directed Self-polymerization of Chloromethylphenyl Trichlorosilanes Studied Using Scanning Probe Microscopy and Particle Lithography
Tian Tian 1 Zorabel LeJeune 1 Jayne Garno 1
1Louisiana State University Baton Rouge USA
Show AbstractWe are developing approaches for molecular-level studies of organosilane surface reactions using scanning probe microscopy combined with particle lithography. Our strategy is to prepare test platforms of well-defined nanostructures using particle lithography and then examine surface changes after successive steps of designed chemical reactions. Particle lithography is a practical and highly reproducible method for patterning organic thin films with nanometer-level precision. The natural self-assembly of monodisperse latex or silica mesospheres provides a surface mask to rapidly generate billions of nanostructures with relatively few defects on different surfaces (mica, glass, silicon). Mesospheres assemble spontaneously to form crystalline surface layers when dried on flat substrates, which can then be used as masks for producing patterns of organosilanes. After depositing organosilanes through the mask, the mesoparticles are removed with simple rinsing step to disclose nanopores of uncovered substrate with regular circular shapes. Thin films of octadecyltrichlorosilane (OTS) with defined nanopores were prepared with particle lithography using latex or silica mesospheres to furnish a resist layer. The cross-linked OTS film was used to protect selected areas of the surface from chemical binding. The patterned films of OTS were then submerged in solutions of chloromethylphenyltrichlorosilane (CMPS) at different time intervals. The self-polymerization of CMPS was chosen for studies of polymer growth within surface confined areas, requiring only simple steps of sample immersion in ambient conditions. Analysis of atomic force microscopy (AFM) images after progressive chemical exposure provided quantitative information for studying the kinetics and mechanisms of surface reactions. Molecular-level details of the surface assembly and self-polymerization of CMPS was revealed by AFM investigations. The CMPS produced within bare areas of the surface formed pillar nanostructures with different heights and diameters. The diameter of the CMPS nanostructures corresponds to the initial sizes of the confined areas of Si(111). The heights of CMPS nanostructures indicate multilayers have formed, with taller columns produced by longer immersion times. Nanostructures of CMPS ranging from 10 to 30 nm formed on uncovered areas of Si(111) confined within pores of an OTS film. Measurements show that smaller surface sites produced columns of shorter heights and narrower diameters, and taller columns with wider diameters were produced for larger surface sites. The relationship between nanostructure height and surface area provides insight for understanding the dynamics and mechanisms of self-assembly reactions at molecular level. Nanostructures of organosilanes furnish a unique platform for studying surface self-assembly reactions. These investigations offer intriguing new capabilities as a generic approach for nanoscale studies with more complex molecular architectures.
9:00 AM - S5.32
Dielectrophoresis in Preparing Functional Polymer Composites with Assembled Nanoparticles
Matti Knaapila 1 Henrik Hoeyer 1 Gorm Krogh Johnsen 1 Marit Ulset Sandsaunet 1 2 Jakob Kjelstrup-Hansen 3 Geir Helgesen 1 4
1Institute for Energy Technology Kjeller Norway2Norwegian University of Science and Technology Trondheim Norway3University of Southern Denmark Samp;#248;nderborg Denmark4University of Oslo Oslo Norway
Show AbstractWe use an alternating electric field (dielectrophoretic effect) to align electrically conductive particles such as carbon black, graphene nanoparticles etc. into single wirelike strings in a polymer matrix. Particle alignment makes the material conductive and curing the matrix stabilizes the strings. These materials can act as micro-mechanical strain sensors and for instance stretching the aligned strings leads to a reversible change in resistivity with a gauge factor of 150. In general, this method allows us to use low particle fraction (<<1 vol. %) which leads to various benefits such as enhanced transparency as compared to the conventional conductive composites.
9:00 AM - S5.33
Fabrication and Characterization of Self assembled Metallodielectric Photonic Crystals for Biosensing
Shobha Shukla 1
1Indian Institute of Technology Bombay Mumbai India
Show AbstractMetal nanoparticles and dielectric nanocomposite based three dimensional (3D) photonic crystals are expected to achieve high light use efficiency, which stimulates their promising applications in the field of optical antenna, telecommunication, all optical switching, sensors, and semiconductor devices etc. Bottom up colloidal synthesis has been utilized here for making 3D photonic crystals. Bottom up methods are preferred over top down method due to their simple processing steps and prospect of economic production of complex 3 dimensional structures. Here we report a bottom up self assembly method for the synthesis of long range order 3D metallodielectric photonic structures. Extensive structural and optical characterization will also be presented.
9:00 AM - S5.34
3D Nano-seedig Assembly of Well Ordered Ferromagnetic Fe/LaSrFeO4 Nano-hetero Dot Array
Hidekazu Tanaka 1 Koichi Okada 1 Takuya Sakamoto 1 Kohei Fujiwara 1 Azusa Nakamoto Hattori 1 Teruo Kanki 1
1Osaka University Ibaraki Japan
Show AbstractFunctional oxides are interesting emerging materials on their self- assemble nano-phase formation owing to their rich variety of crystal structures. For example, self-assembled nanocomposites, such as ferromagnetic CoFe2O4/ferroelectric oxides (BaTiO3, PbTiO3, BiFeO3), colossal-magnetoresistance (La,Sr)MnO3/semiconductor or insulating oxides (ZnO, MgO), ferromagnetic Fe/antiferromagnetic LaSrFeO4, half-metallic Fe3O4/multiferroic BiFeO3,and superconductor YBa2Cu3O7/dielectric BaZrO3,have attracted considerable interest due to a variety of functionalities. The self-assembled growth is the straightforward nanocomposite formation through spontaneous phase separation, and can compensate the drawback of existing lithography technique. Well-ordered ferromagnetic Fe nanodots/LaSrFeO4 nanocomposites have been fabricated by self-assembled crystal growth on La-SrTiO3 substrates having Fe nano-seed array fabricated by nanoimprint lithography. The Fe nanoseeds with spacing of 200 nm make possible the formation of perfectly arranged Fe/LaSrFeO4 nanocomposites; phase-separated Fe nanodots and the LaSrFeO4 matrix grew only on the nanoseeds and on the area except nanoseeds, respectively. A calculation based on a surface diffusion model has indicated that the nanoseed spacing required for the formation of the perfectly arranged nanocomposite is less than 400 nm. Magnetic force microscopy revealed an arrangement of isolated ferromagnetic domain corresponding to Fe nanodots grown on the Fe nanoseeds. The combination of self-assembled growth and nanoimprint lithography gives a route of the rational formation of high-density ferromagnetic memory devices.
9:00 AM - S5.36
Self-assembly of Lamellar Silver Alkanethiolates with Functionalized Terminal Groups
Zichao Ye 1 Lito de la Rama 1 Liang Hu 1 Yiran Yan 1 Mikhail Efremov 2 Leslie Allen 1
1University of Illinois at Urbana-Champaign Urbana USA2University of Wisconsin - Madison Madison USA
Show AbstractWe previously reported the synthesis and characterization of silver alkanethiolates lamellar crystals with controllable layer numbers on inert substrates. A novel multilayer system of silver alkanethiolates with functionalized terminal groups, such as carboxylic acid (COOH) or hydroxyl (OH), could be achieved through the self-assembly of mercapto carboxylic acid or mercapto alcohol on silver clusters grown by physical vapor deposition. The characterizations of its lamellar structure, composition, morphology and melting behavior are conducted by using atomic force microscopy (AFM), X-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), Fourier transform infrared spectroscopy (FTIR) and nanocalorimetry (NanoDSC). Results indicate a strong (010) texture with layers parallel to the substrate in these lamellar crystals.
9:00 AM - S5.37
Melanin Self-assembly, Structure, and Mechanical Properties
Chun-Teh Chen 1 Vincent Ball 2 Jose J. Gracio 3 Valerie Toniazzo 2 David Ruch 2 Markus J. Buehler 1 4 5
1Massachusetts Institute of Technology Cambridge USA2Centre de Recherche Public Henri Tudor Luxembourg Luxembourg3University of Aveiro Aveiro Portugal4Massachusetts Institute of Technology Cambridge USA5Massachusetts Institute of Technology Cambridge USA
Show AbstractMelanin is a ubiquitous pigment in nature and has many intriguing physicochemical properties: broadband and monotonous absorption spectrum, anti-oxidant and free radical scavenging behavior, and strong non-radiative relaxation of photo-excited electronic state. These properties are directly related to the molecular, supramolecular, and aggregate-level structure of melanin, and has led to the suggestion that melanin could be useful as a biologically inspired material platform. However, solid-state melanin is very difficult to process , partly owing to its insolubility in most organic solvents, which makes melanin challenging to characterize and understand. In this paper, molecular dynamics (MD) simulation combined with experimental studies is used to examine the self-assembly mechanism and mechanical properties of melanin. The melanin model is based on tetramers consisting of four monomer units, in arrangements that contain an interior porphyrin ring, which was proposed in earlier quantum mechanical work. In our simulations, the mass density of dry melanin is computed to be 1.55 g/cm3 and the Young&’s modulus is around 5.1 GPa. We also find that wet melanin has a lower mass density and Young&’s modulus depending on the water-melanin ratio. We show that melanin molecules tend to form secondary structures based on non-covalent pi-pi stacking in both dry or wet condition, with an interlayer distance between melanin molecules of 3.3 Å. We also discuss how these secondary structures aggregate with each other to form even larger structures. Corresponding TEM pictures show exactly the kind of supramolecular organization that we predicted in our simulations. Although melanin shows a highly anisotropic behavior, our large-scale simulations show that melanin is an isotropic material at a larger scale, when melanin molecules are randomly oriented to form secondary structures. The simulation results are not only in good agreement with several experimental observations and density functional theory (DFT) calculations, but also bridge the gap between the experimental and quantum mechanical studies of melanin. We conclude the presentation with a discussion of absorption spectra under different processing conditions.
9:00 AM - S5.38
Nanopatterning Au Nanocrystals on the Surface of Ion-sensitive Field-effect Transistors by Using Ultrathin Porous Alumina Masks
Alexandre Kisner 1 4 Marc Heggen 2 Andreas Offenhaeusser 1 4 Lauro Tatsuo Kubota 3 Yulia Mourzina 1 4
1Forschungszentrum Jamp;#252;lich Jamp;#252;lich Germany2Forschungszentrum Jamp;#252;lich Jamp;#252;lich Germany3State University of Campinas Campinas Brazil4Jamp;#252;lich Aachen Research Alliance-Fundamentals of Future Information Technology Jamp;#252;lich Germany
Show AbstractThe fabrication in a single step of thin films of alumina exhibiting a thickness of less than 100 nm and nanopores with a highly regular diameter distribution in order to pattern nanostructures over field-effect devices that can be applied as sensors is a critical issue and has not previously been demonstrated. Here we report the fabrication in situ of 50 nm thick ultrathin nanoporous alumina membranes with a regular pore size directly over metal-free gate ion-sensitive field effect transistors. Depositing thin films of aluminium by electron beam at a relatively low rate of deposition on top of chips containing the transistors and using a conventional single-step anodization process permits the production of a well-adhering nanoporous ultrathin layer of alumina on the surface of the devices. The anodization process does not substantially affect the electrical properties of the transistors. The small thickness and pore size of ultrathin alumina membranes allow them to be sequentially employed as masks for patterning Au nanocrystals grown by an electroless approach directly on the top of the transistors. The patterning process using a wet chemical approach enables the size of the patterned crystals to be controlled not only by the dimensions of the pores of alumina, but also by the concentration of the reactants employed. Surface modification of these nanocrystals with alkanethiol molecules demonstrates that the electrostatic charge of the functional groups of the molecules can modulate the electrical characteristics of the transistors. These results represent substantial progress towards the development of novel nanostructured arrays on top of field-effect devices that can be applied for chemical sensing or non-volatile memories.
9:00 AM - S5.39
Directed Self-assembly of Aluminum Oxide Nanostamps and 10nm-diameter, Low Resisitivity Interconnects
Sang-Yeob Sung 1 Mazin Maqableh 1 Anirudh Sharma 1 Andrew Block 1 Eliot Estrine 1 Matthew Hein 1 Bethanie Stadler 1
1U Minnesota Minneapolis USA
Show AbstractThis work presents a unique material with 10nm features, made possible by directed self-assembly, that is very hard mechanically and chemically: porous aluminum oxide. Although this symposium is focused on block copolymers, porous alumina will be of interest to participants as new frontiers of long-range order (square inches) and small feature size (8nm diameters)are presented for these high aspect-ratio (easily reaching 10,000) nanopores. A new imprint technique allows these oxides with columnar pores penetrating their thickness to be made inexpensively and quickly - currently as low as a few dollars. These porous oxides can be used as templates into which nanowires can be grown. For applications to block co-polymers, either the porous template or plated nanowires can be used in control of directed self-assembly of polymers by stamping or material transfer. For example, the hard structures presented here can be used as polymer stamps and also as substrates for template-stripping of polymers and other soft materials, such as gold. Using Image J, tight size distributions (10%) have been measured. In addition, the templates and stamps produced by this process can be applied to rollers for roll-roll processing. Finally, as an application area for directed self-assembly, we propose electronic interconnects as metal nanowires grown in these porous oxides have shown nearly bulk resistivities (5.4u.ohm.cm for copper) even in 10nm diameter, 200nm long nanowires. Theoretical and experimental research results prior to these measurements predict 1-4 orders of magnitude higher resistance at 10nm diameters due to surface scattering. Our current measurements indicate that the surfaces do not scatter electrons diffusely, which means they are very smooth along their entire length. These interconnects have great potential for linking novel nano-electronics while minimizing the “size effect” of the ITRS roadmap.
9:00 AM - S5.40
Electronic Control over Attachment and Self-assembly of Alkyne Groups on Gold
Qing Li 1 ChengBo Han 2 Miguel Fuentes-Cabrera 1 Humberto Terrones Terrones 1 Bobby G. Sumpter Sumpter 1 Wenchang Lu 2 Jerry Bernholc 2 Jieyu Yi 1 Zheng Gai 1 Arthur P Baddorf 1 Petro Maksymovych 1 Minghu Pan 1
1Oak Ridge National laboratory Oak Ridge USA2North Carolina State University Raleigh USA
Show AbstractSelf-assembled monolayers are the basis for molecular nanodevices, flexible surface functionalization and dip-pen nanolithography. Yet self-assembled monolayers are typically created by a rather inefficient process involving thermally driven attachment reactions of precursor molecules to a metal surface, followed by a slow and defect-prone molecular reorganization. Here we demonstrate a non-thermal, electron-induced approach to the self-assembly of phenylacetylene molecules on gold that allows for a previously unachievable attachment of the molecules to the surface through the alkyne group. While thermal excitation can only desorb the parent molecule due to prohibitively high activation barriers for attachment reactions, localized injection of hot electrons or holes not only overcomes this barrier, but also enables an unprecedented control over the size and shape of the 3D self-assembly, defect structures and the reverse process of molecular disassembly from a single molecule to a mesoscopic length scale. Electron-induced excitation may therefore enable new and highly controlled approaches to molecular self-assembly on a surface.
S1: DSA Processing and Control I
Session Chairs
Monday AM, November 26, 2012
Sheraton, 2nd Floor, Back Bay D
9:30 AM - *S1.01
Directed Self-assembly of Block Copolymers on Chemically Pre-patterned Surfaces
Paul F Nealey 1
1University of Wisconsin Madison USA
Show AbstractThe integration of block copolymers in the lithographic process is an important strategy to augment and enhance the capabilities of current tools in nanomanufacturing. We employ electron beam and 193 nm immersion lithography and traditional photoresist materials to fabricate chemically patterned surfaces. Block copolymer films are deposited on the surfaces and annealed. In comparing the chemical pre-pattern to the pattern of domains induced to assemble in the block copolymer film, this strategy for directed self-assembly (DSA) result s in high degrees of pattern perfection, placement of features at the precision of the lithographic tool used to make the chemical pre-pattern, improved dimensional control of features, improved line edge and line width roughness, and resolution enhancement by factors of two to four. To understand the origins of defect formation, and delineation of the degree of perfection that can be obtained, our DSA process has been implemented in the 300 mm cleanroom fab at IMEC in Belgium. Many of the demonstrations of DSA in industrial applications has been carried out using poly(styrene-block - methylmethacrylate) (PS-PMMA): the DSA process is facilitated by PS and PMMA having nearly equal surface energies at the temperature of assembly. Unfortunately the resolution limit using PS-PMMA is approximately 12 nm. Here we show that block copolymer systems capable of reaching sub 10 nm dimension features and with differences in block surface energies can be directed to assemble with high aspect ratio through-film structures on chemical pre-patterns using solvent annealing. The solvated block copolymer films retain chemical specificity of wetting behavior of phase-separated domains towards the chemical pattern, and present both blocks at the surface of the film. We also demonstrate that block copolymers can be processed between two surfaces to enable assembly of high resolution copolymers with differing block surface energies.
10:00 AM - *S1.02
Templating Block Copolymers Using Topographical Features
Caroline Ross 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractThe self-assembly of block copolymer films has been proposed as a method for extending microelectronic fabrication beyond the limits of optical lithography to create few-nm feature sizes, in a process that can be accomplished rapidly and at low cost. Key to this application is the ability to precisely control the shape, size, location and orientation of the microdomains. We will first describe how thermal and solvent annealing can be used to produce a range of microdomain morphologies in block copolymer films. The morphology can be varied over a wide range by altering the solvent vapors and partial pressures used for annealing, which swells the blocks to different extents and changes the effective volume fractions as well as increasing the kinetics of diffusion. To make patterns with long range order, as well as patterns with designed aperiodic features, topographical templating is employed in which arrays of lithographically-produced posts guide the self-assembly of the microdomains according to the commensurability between the template period and the equilibrium period of the block copolymer. This technique is applied to both single-layers and double-layers of microdomains and in the latter case can create grids or cross-point arrays of cylindrical microdomains in which the orientation of each of the two layers can be independently controlled. Triblock terpolymers with linear or star architectures offer a much wider range of microdomain morphologies than are accessible from diblock copolymers, including arrays of rings, square-symmetry posts, and tiling patterns, and the processing and templating of these materials will be described. Examples of pattern transfer to metals, magnetic films, oxides and polymers will be given.
10:30 AM - S1.03
Customization of Directed Self-assembly Patterns
Gregory Stephen Doerk 1 Joy Cheng 1 Charles Rettner 1 Srinivasan Balakrishnan 1 Noel Arellano 1 Daniel Sanders 1
1IBM Almaden Research Center San Jose USA
Show AbstractBlock copolymer directed self-assembly (DSA) has recently garnered significant attention as a potential route to extend state-of-the-art lithographic patterning to smaller dimensions. Dense patterns generated through DSA are highly periodic, but circuit patterning applications require features that are aperiodic, isolated, and discontinuous according to design needs. Customization is challenging because the necessary alterations to be performed on the DSA pattern are beyond the combined resolution and overlay capabilities of current 193 nm immersion tools. Here we present a new method to lithographically customize DSA features. The limitations of this customization method and the extent of its applicability will be discussed. This work is sponsored in part by the DARPA GRATE (Gratings of Regular Arrays and Trim Exposures) program under Air Force Research Laboratory (AFRL) contract FA8650-10-C-7038. The views expressed are those of the author and do not reflect the official policy or position of the Department of Defense or the U.S. Government.
10:45 AM - S1.04
A New Scheme of Nanolithography via Controlled Assembly of Block Copolymer/Homopolymer Blends
Weihua Li 1 Nan Xie 1 An-Chang Shi 2
1Fudan University Shanghai China2McMaster University Hamilton Canada
Show AbstractThe self-assembly of block copolymers directed by patterned substrates has been actively researched, aiming at the production of large-scale ordered patterns, thus providing a potential bottom-up platform for nanolithography. A new scheme to produce large-scale perfectly ordered block copolymer patterns is developed by controlling the intrinsic properties and external directing fields of a system composed of diblock copolymer/homopolymer blends placed on patterned substrates. Specifically, homopolymers are added to regulate the spontaneous nucleation rate whereas substrate patterns are designed to control the position and orientation of the induced nuclei. The mechanism, validity and efficiency of this scheme is examined using computer simulations of a cylinder-forming block copolymer/homopolymer blends, demonstrating that large-scale perfectly ordered patterns can be produced by controlling the position and orientation of induced multiple nucleation events. A density multiplication (DM) value of as large as 128 is achieved for a system with Ls=16L0, in which perfectly ordered BCP patterns are obtained after a growth time of the multiple ordered domains. For a system with Ls=64L0, corresponding to a much larger DM (>2000), there are only less than 0.1% defects after a short annealing time since the induced grains occupy the whole sample. These DM values are much larger than the highest DM of 25-30 reported by previous experiments and theory. Furthermore, the robustness of the proposed scheme is tested by introducing mis-orientations of the nucleation agents and by changing the shape of the nucleation potentials. Besides applications to nanolithography, the underlined principles of phase-ordering kinetics obtained from the current study are readily applicable to any physicochemical systems undergoing first-order phase transitions.
S2: DSA Applications I
Session Chairs
Roel Gronheid
Michele Perego
Monday AM, November 26, 2012
Sheraton, 2nd Floor, Back Bay D
11:30 AM - *S2.01
Complementary Lithography - Broad Brush Approach
Yan Borodovsky 1
1Intel Corporation Hillsboro USA
Show Abstract193nm immersion (ArFi) lithography with pitch division (PD) is enabling the IC industry to continue Moore&’s Law without interruption. Intel remains on a 2 year technology cycle, having started production of 22nm HVM in 2011, and is actively working to enable 14nm and beyond. While technology advancement and innovation in patterning for 22 and 14nm nodes has come mainly from innovative materials selection and processing steps involved in PD and computational lithography, it will be highly beneficial to complement existing lithography and advanced PD technologies with patterning solutions having inherently higher resolution than ArFi for the 10nm and beyond nodes. EUV and EBDW lithography promise to reduce wafer cost through combining multiple ArFi patterning steps on a given layer into one, yet as HVM insertion date for those keeps moving forward limitations imposed by shot noise on resist sensitivity and consequently on tools throughput might limit minimal size of features patterned by those techniques in a single exposure or single pass. Directed Self Assembly have potential to allow further scaling of minimal dimensions as well as dimensions variability rectification to extend scalability of shot noise limited feature dimensions produced by either EUV or EBDW. Templated Self Assembly has potential to complement 193nm immersion patterning to allow scaling of features down to sub-10nm dimensions. The presentation will offer the author&’s opinion on the prospects, challenges and complementary nature for EUV, EBDW and DSA insertion in logic HVM for 10nm and beyond.
12:00 PM - *S2.02
Practical Modeling of Directed Self-assembly for Lithography
Jed Pitera 1
1IBM Research San Jose USA
Show AbstractIn the next few years, there is a real opportunity for lithographic patterning using directed self-assembly (DSA) to move from laboratory research to production manufacturing. At IBM, we have been successfully using computational models to understand and predict the details of DSA processes. Models at different levels of detail, from atomic or coarse-grained particle models through polymer field theory to simplified phenomenological models, are needed to address different questions on timescales relevant for experiment. In this talk I will discuss examples where we have used modeling and simulation to understand and predict important process variables, 3-D morphologies, material properties, and substrate interactions in DSA graphoepitaxy and chemical epitaxy for integrated circuit lithography. The challenge of creating simplified models of DSA processes that retain predictive accuracy but are fast enough to use in integrated circuit design will also be discussed.
12:30 PM - *S2.03
Three-Dimensional Characterization of Block Copolymer Lithography Patterns Using X-Ray Scattering
Joseph Kline 1 Daniel F. Sunday 1 Chengqing Wang 1 Wenli Wu 1
1National Institute of Standards and Technology Gaithersburg USA
Show AbstractSemiconductor companies are pushing the limits of optical lithography. The ITRS roadmap states that future processing generations will require new lithographic methods to economically produce ever smaller features. One promising new lithographic method is directed self-assembly of block copolymers (DSA-BCP) where a patterned template directs the self-assembly of the BCP. Critical questions remain about the pattern fidelity and reliability of DSA-BCP. We will report on using variable-angle transmission small-angle x-ray scattering to determine the three-dimensional profile of the interface between the BCP phases, the line edge roughness, and pitch fluctuations in the native BCP film. In particular, we will discuss the use of soft x-rays near the carbon edge to enhance the contrast between the two polymer blocks and provide enhanced structural details of the interfaces. X-ray scattering provides key insight into the self-assembly process and can validate computational simulations of directed self-assembly.
Symposium Organizers
August W. Bosse, ExxonMobil Research and Engineering Company
Joy Y. Cheng, IBM Almaden Research Center
Roel Gronheid, IMEC
Gila E. Stein, University of Houston
S8: DSA Processing and Control III
Session Chairs
Tuesday PM, November 27, 2012
Sheraton, 2nd Floor, Back Bay D
2:30 AM - *S8.01
Prediction and Analysis of Block Polymer Directed Self-assembly for Nanopatterning
Juan de Pablo 1 Paul Nealey 1
1University of Chicago Chicago USA
Show AbstractDirected copolymer self-assembly (DSA) has emerged as a promising alternative for patterning at sub-lithographic length scales. Much progress has been made over the past decade, but a number of significant challenges remain. At the University of Wisconsin - Madison we have adopted a truly concerted experimental and computational approach aimed at gaining a fundamental understanding of directed copolymer assembly on nanopatterned substrates. This presentation will provide an overview of recent theoretical and computational approaches that have enabled the use of models and simulations as true design tools, along with their advantages and limitations, and a discussion of some emerging predictions and the corresponding experimental observations. As shown in this talk, these approaches can predict thermodynamic and dynamic properties, and rely only on knowledge of composition and molecular architecture of all components. They serve to predict different morphologies, the boundaries between them and, when imperfect states arise, they help determine the free energy (and corresponding probability of occurrence) of defects. They also serve to evaluate the merits of different patterning approaches, such as chemical epitaxy or graphoepitaxy, or to interpret the results of experiments, particularly when new structures are discovered. More recently, simulations have allowed us to determine the dynamic pathways by which equilibrium and non-equilibrium morphologies arise in directed self assembly, both during standard spin-coating applications and during solvent annealing processes. The latter development is allowing us to develop a series of new patterning strategies that could expand considerably the palette of available morphologies for device fabrication.
3:00 AM - S8.02
Inverse Design of Directed Self-assembly Templates for Block Copolymer Thin Films
Adam Floyd Hannon 1 YongJoo Kim 1 Kevin Willy Gotrik 1 Alfredo Alexander-Katz 1 Caroline Anne Ross 1
1MIT Cambridge USA
Show AbstractBlock copolymer (BCP) thin film directed self-assembly is becoming the forerunning nanopatterning technique to reach feature size length scales below the 20 nm limit of traditional photolithography. Already the method is allowing the creation of long-range ordered periodic patterns of dots and lines required for the fabrication of various memory and logic devices. However, creation of aperiodic structures using appropriate templating while avoiding defect formation remains a challenge. Here we demonstrate the use of a hybrid Monte Carlo (MC) and self-consistent field theory (SCFT) simulation to solve the inverse problem of BCP directed self-assembly, i.e. to determine the template necessary for directing the self-assembly of a diBCP to form a target geometry. This method is in contrast to the traditional use of SCFT to determine the microdomain morphology that is directed by a given template. In the model, the template features are assumed to be topographical structures such as hydrogen silsequioxane (HSQ) posts fabricated using electron beam lithography. These features are modeled using a large hard wall pressure field that constrains the BCP field density to not occupy that space in the simulation grid. The diBCP modeling parameters such as chi;, the Flory-Huggins interaction parameter, N, the degree of polymerization, and f, the minority component volume fraction are selected to match cylindrical forming poly(styrene-b-polydimethylsiloxane) (PS-PDMS) used in experiments. The posts in the model can be attractive to either the majority or the minority block. By first designing the final desired fields of the polymer system and using those field values as the initial state of the simulation, various spatial configurations of a given number of template posts are examined through a MC process using the standard field based Hamiltonian of SCFT. This process is iterated until an energy minimum configuration template for the desired input structure is found. For some metastable structures where multiple post configurations may yield the same final structure, the MC scheme is iterated many times with the resulting post configurations being averaged to make a probable template. This template is tested computationally by performing a normal SCFT simulation comparing the resulting field morphology with the input fields and experimentally by preparing a PS-PDMS thin film on an HSQ template. Various components of interest such as bends, three-way junctions, terminals, and connector holes combined in various layouts are used as input structures to demonstrate the capabilities of the simulations with the resulting patterns compared to the input structure. For example, we showed that six minority-block-coated post features could template the formation of a terminal connected to a three-way junction structure from a cylinder-forming BCP. These results thus provide an inverse design process for directed self-assembly of BCPs to form arbitrary pattern features.
3:15 AM - S8.03
Collective Behavior of Block Copolymers Thin-film within Periodic Topographical Structures
Michele Perego 1 Andrea Andreozzi 1 Antonio Vellei 1 Manuel Morgano 1 Gabriele Seguini 1
1Laboratorio MDM, IMM-CNR Agrate Brianza Italy
Show AbstractTop-down lithographic approaches allow fabricating nanostructures with arbitrary geometrical design and superior nanometer-level precision, accuracy and registration. Nevertheless single exposure 193 nm immersion lithographic technology reached its ultimate physical limitations with the shipping of device and circuit with 40 nm half pitch. Further improvements in resolution are expected by multi-exposure methods at the expenses of added complexity, increased costs and lowered throughput. Bottom-up approaches, like block copolymer (BC) self-assembly, offer low cost solution to face the physical limitation of conventional lithography and to make large-area periodic nanostructures. The deposition of the BC thin film on pre-patterned surfaces, usually referred as graphoepitaxy, allows directing the self-assembly process. In this way the locations of individual domains can be carefully controlled reducing defects in the periodic arrangement and improving the long range ordering. Despite many progresses have been made in specific areas there are still important challenges in defect density and integration that make questionable the potential viability of directed self-assembly to extend current lithography. In this regard, despite many studies have already been reported in the literature about the organization of BC within pre-patterned trenches, the behavior of BC thin films in dense and close-packed structures has never been systematically investigated. In any real microelectronic device, the pre-patterned structures that must be filled with BC are not expected to be isolated and the possibility of proximity effects or cross-talking among the neighboring structures cannot be disregarded. In this work we perform a systematic study of the effect of adjacent nanostructures on the confinement of the BC within pre-patterned trenches in 100 nm thick SiO2 films. Asymmetric PS-b-PMMA block copolymers with a styrene fraction of 0.71, Mn=67100 and PDI 1.09 are used. When deposited in the form of thin film, these BC naturally self-organize upon annealing, and form a PS matrix with hexagonally close-packed PMMA cylinders perpendicularly oriented with respect to the substrate. An accurate study of the confinement of this DBC thin film within isolated trenches is performed as a function of trench depth (30-120 nm nm) and width (40-260 nm). In this configuration the confinement of the BC thin film within the pre-patterned structures can be achieved only for trenches larger than 120 nm. Finally the effect of adjacent trenches on the arrangement of the BC thin film is investigated using parallel trenches periodically distributed on the surface. The effective confinement of the BC film is strongly modified by the periodicity of the pre-patterned structures even when the separation between adjacent trenches is much larger than the trench width. This research activity has been funded by the ERANET PLUS “NanoSci-E+” consortium through the NANO-BLOCK project.
3:30 AM - S8.04
Self-annihilation of Defects in Block Copolymers Thin Films induced by Corrugated Substrates
Guillaume Fleury 1 Karim Aissou 1 Jonah Shaver 2 Gilles Pecastaings 1 Cyril Brochon 1 Christophe Navarro 3 Stephane Grauby 2 Jean-Michel Rampnoux 2 Stefan Dilhaire 2 Georges Hadziioannou 1
1University of Bordeaux Talence France2University of Bordeaux Talence France3Arkema Lacq France
Show AbstractUltradense perfectly ordered structures with nanometric periodicity are of crucial importance for applications such as microelectronic, data storage media or metamaterial. To produce long-range ordered two-dimensional arrays inherent to such technologies, the hybrid combination of “bottom-up” self-assembly with “top-down” guiding patterned templates has been successfully introduced (1,2). We have overcome the cost and time consumption limitations of common lithography techniques used to control the self-assembly of block copolymers into highly-ordered 2D arrays through the use of a guiding pattern created from a polymeric sub-layer. The guiding pattern is a sinusoidal surface-relief grating interferometrically inscribed onto an azobenzene containing copolymer sub-layer with a visible laser beam. In order to apprehend the influence of the surface deformation inherent to the surface relief grating sub-layer on the defect density in the 2D lattice, poly(styrene-block-ethylene oxide) (PS-b-PEO) layers with different film thicknesses were studied using Delaunay triangulation constructions for the recognition of defect sites. For an amplitude of 1 nm, the modulated PS-b-PEO film is composed of well-organized areas (grains), corresponding to regions where all cylinders are 6-fold coordinated. These areas with no-specific orientation are separated by topological defects known as dislocations and disclinations. Under such conditions, the surface-relief grating only slightly influences the lateral orientation of the PEO nanodomains. For a film with a 0.5 nm surface amplitude, the Delaunay triangulation reveals a hexatic intermediate phase since only few dislocations are present preferentially located near the highest points on the free surface. The anchoring of dislocations close to height-maxima is caused by lattice stresses induced by the patterned substrate which acts as an uniform external field controlling the equilibrium position of the dislocations. By increasing the film thickness to a critical value where the top of the PS-b-PEO layer presents a smooth free-surface with no hint of the underlying sinusoidal pattern, a defect-free area (single grain) is formed over a large surface. These results shows that the long-range order of the 2D-array is strongly affected by the surface deformation (induced by the topological pattern) of the PS-b-PEO film which plays a crucial role on the diffusion of defects and so, on their annihilation. This technique opens up a versatile route to produce dense nanometrically ordered block copolymer films on various substrates. References: (1) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Adv. Mater. 2001, 13, 1152. (2) Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Appl. Phys. Lett. 2002, 81, 3657.
3:45 AM - S8.05
Complex Self-assembled Patterns from a Square Grid Template with Restricted Geometry
Jae-Byum Chang 1 Hong Kyoon Choi 1 Adam F. Hannon 1 Caroline A. Ross 1 Karl K. Berggren 2
1MIT Cambridge USA2MIT Cambridge USA
Show AbstractTopographic templates can be used for guiding the self-assembly of block copolymers to produce complex nanoscale patterns. In our previous work [Yang et al., Nat. Nano. 5, 256 (2010), Chang et al., ACS Nano 6, 2071 (2012)], aligned sub-20-nm and sub-10-nm line patterns were achieved from a cylindrical polystyrene-b-polydimethylsiloxane (PS-b-PDMS) block copolymer (BCP) templated by posts. The orientation of the patterns was controlled by varying the periodicity of an array of posts. Complex sub-20-nm bends or meander structures were also achieved by using pairs of closely spaced dots as templates. However, formation of arbitrary patterns required complicated template geometry. Here, we demonstrate complex pattern fabrication using a topographic template consisting of a square lattice with a restricted set of geometrical features. This considerably simplifies the template design, and allows BCP patterns from all possible template arrangements to be studied. We used a sparse array of double-dot posts distributed among a dense array of single-dot posts. The pitch of the single-dot post array was varied from 30 nm to 83 nm. Also, one out of every nine single-dot post was substituted with a double-dot post, aligned parallel to the x-axis or y-axis. The surface of the template and substrate was coated by 5kg/mol hydroxyl-terminated PDMS homopolymer, then coated with 45.5kg/mol PS-b-PDMS block copolymer and annealed to form a monolayer of in-plane PDMS cylinders touching the posts. The PDMS cylinders made 90-degree bends when they were templated by a single-dot post array with a period of 35 nm (commensurate with the equilibrium period of the BCP). When the BCP film was annealed on the 35 nm period template, the PDMS cylinder which formed above a double-dot post was oriented parallel to the double-dot post. However, the orientation of the PDMS cylinder which formed above a single-dot post depended on the orientations of the four surrounding double-dot posts. Among 16 possible arrangements which can be generated from 4 surrounding double-dot posts (2^4=16), the 2 arrangements with four parallel double-dot posts resulted in parallel PDMS cylinders, and the 8 arrangements with one orthogonal double-dot post resulted in a PDMS cylinder with a 90 degree bend. To verify these observations, we generated templates whose double-dot posts were randomly oriented and predicted the final pattern using a set of empirical rules based on the observations described above. First, we predicted the location and orientation of the bends formed from locations between one orthogonal double-dot post and three parallel double-dot posts. After that, we predicted the formation of parallel lines at locations with four parallel double-dot posts. When the experimental result was compared with the predicted pattern, the accuracy of prediction was 97%. This result indicates that complex aperiodic line structures with bends can be designed from a simple set of template features.
S9: DSA Applications III
Session Chairs
Hiroshi Yoshida
Weihua Li
Tuesday PM, November 27, 2012
Sheraton, 2nd Floor, Back Bay D
4:30 AM - *S9.01
Field-based Simulations of Directed Block Copolymer Assembly
Glenn Fredrickson 1
1University of California, Santa Barbara Santa Barbara USA
Show AbstractI will review recent simulation work in our group aimed at understanding directed self-assembly (DSA) processes in block copolymers using graphoepitaxy. Using tools of self-consistent field theory and the string method for minimum energy path identification, we have investigated the energetics and kinetics of elementary disclination and dislocation defects in laterally confined lamellar and hexagonal phases. A number of factors have been studied including wall and substrate surface energies, polydispersity, segregation strength, and copolymer composition. We find that defect densities well below the ITRS target of 0.01 defects/cm2 should be achievable under ideal conditions for DSA lines in channels that are 3 to 5 lamellae or cylinders in width. A second topic of the presentation relates to the hole shrink problem, involving DSA of a vertical copolymer cylinder within a larger cylindrical prepattern. We discuss defect morphologies, energetics, and strategies for suppressing undesirable structures, along with methods for reducing DSA sensitivity to imperfections in prepattern geometry, size, and surface chemistry.
5:00 AM - S9.02
Contact Hole CD Uniformity Repair through Directed Self-assembly of Cylindrical Phase Block Copolymers
Roel Gronheid 1 Paulina Rincon Delgadillo 1 2 Todd Younkin 3 Ainhoa Romo Negreira 4 Mark Somervell 4 Kathleen Nafus 4 Paul Nealey 2
1imec Leuven Belgium2University of Wisconsin Madison USA3Intel Corporation Hillsboro USA4Tokyo Electron America Austin USA
Show AbstractEUV (Extreme Ultraviolet) lithography at 13.5nm imaging wavelength is proposed as the main patterning technology to enable continued scaling of integrated devices [1]. The main device layer where EUV is foreseen to find it first application is for patterning of small contact hole or via structures. A primary challenge for introducing EUV lithography for this layer type is in the statistical variability of hole dimensions that is experimentally observed [2]. This variability may prove a fundamental physical limitation, since it is suggested to be dictated by the photon shot noise (i.e. number of photons that are used to define a single hole) at the maximum allowed EUV dose. Directed Self-Assembly (DSA) of block copolymers may enable a path to overcome this issue. In this case, the EUV pre-patterns are used to define or ‘pin&’ the desired locations of the holes and the CD (critical dimension) is defined by the properties of the block copolymer. Several methods to integrate such an approach are feasible. Conceptually, the pattern me be pinned by topography (in a grapho-epitaxy process flow) or by local variations in substrate surface energy (in a chemo-epitaxy process flow). In this paper experimental results for both approaches will be presented and drawbacks and benefits of both approaches will be discussed. [1] J. Li “Transform design to Chips with sub-20nm Technologies” International Symposium on EUV Lithography, October 17-19, 2011, Miami, FL. [2] R. Gronheid, et al. “Quantification of shot noise contributions to contact hole local CD nonuniformity” Proc. SPIE Vol. 8322, 83220M (2012).
5:15 AM - S9.03
Trapping Nanoparticle with Various Block Copolymer Defect Patterns
YongJoo Kim 1 Alfredo Alexander-Katz 1
1MIT Cambridge USA
Show AbstractNanoparticle assemblies in block copolymer (BCP) thin film have been widely studied experimentally and theoretically. The phase behavior of BCP-based nanocomposites is determined by the combination of enthalpic interactions between the nanoparticle ligands and the polymer matrix and the entropic contribution of the polymer chain conformations. Recently, it has been shown that this exquisite balance can be used to position nanoparticles of certain sizes at precise locations in BCP matrices. Given the long-range order that can be achieved with current directed-self assembly techniques, it is possible to envision that one could one day precisely position nanoparticles within these templates. In addition, recent research has also shown that defects, such as dislocations, can play a significant role in determining the position of nanoparticles within the block copolymer matrix. By exploiting the patterning capabilities of directed self-assembly and exploiting defects, it is foreseeable that one could position nanoparticles in arbitrary patterns, or separate them according to size in block copolymer inhomogeneous structures. Precisely controlling the location of nanoparticles would enable many applications in the realm of photonics, plasmonics, and nanoelectronics. Here we present our work on the positioning of nanoparticles at different types of BCP defects using hybrid particle-field simulations. A desired BCP pattern is first obtained by modeling a nanoscale template consisting of ordered posts that are attracted to one of the blocks of BCPs. The presence of posts enables of creation of defects in otherwise ordered assemblies. Once the desired pattern is obtained, we run simulations under the same conditions as the initial assembly but including nanoparticles with different sizes and positions. By calculating the free energy of the entire system, we study the role that defects and the size of the nanoparticles play in the equilibrium positions in the BCP matrix. We study T, X, and Y-type defects and show that nanoparticles with small diameters (~20% the radius of gyration of the BCPs) compared to the ideal radius of gyration of the BCPs are not localized, and that larger nanoparticles are localized at these junctions. Our results can have important implications in directing the self-assembly of multi-component hierarchical materials.
5:30 AM - S9.04
Process and Simulation Studies of Contact Holes Fabricated Using Directed Self-assembly Lithography
Hiroki Yonemitsu 1 Hironobu Sato 1 Yuriko Seino 1 Hirokazu Kato 1 Masahiro Kanno 1 Katsutoshi Kobayashi 1 Ayako Kawanishi 1 Katsuyoshi Kodera 1 Tsukasa Azuma 1
1Toshiba Corporation Yokohama Japan
Show AbstractSince ArF immersion lithography has reached its optical resolution limit in the region less than sub-40 nm half-pitch patterns, various patterning techniques have been researched and developed in semiconductor device manufacturing. Directed self assembly lithography (DSAL) is one of the most promising candidates to print sub-30nm contact holes with low cost in semiconductor device manufacturing. In order to use DSAL in semiconductor mass production, it is essential to verify process margin of DSAL and to know the key parameters to control DSAL process. In this study, sub-30 nm contact holes were fabricated using DSAL and their process margin were verified and also compared with simulation result based on dissipative particle dynamics. In our experiment, spin-on carbon (SOC) was used as hole type pre-pattern for grapho-epitaxy which was fabricated using trilayer resist process, S-MAP (Stacked-Mask Process). Then polystyrene-block-polymethylmethacrylate (PS-b-PMMA) di-block copolymer (BCP) was spin-coated on SOC pre-pattern followed by annealing to induce cylindrical micro phase separation. A development process was applied to remove PMMA and sub-30nm contact holes were fabricated. The development process consists of two steps; UV exposure to break the bonding in PMMA and wet development of PMMA with organic solvent. Various SOC pre-pattern diameters were prepared and DSA hole diameters, open yield and cross-sectional images after the development process were obtained. The open yield showed that the DSA hole has the optimum SOC pre-pattern diameter and a certain tolerance. In our experiment, 100% open yield of the DSA holes were obtained where the SOC pre-pattern diameter was 70 +/- 5nm. The cross-section SEM images of the DSA holes supported this result. When the SOC pre-pattern diameters were smaller than the optimum diameter, micro phase separation did not occur due to mismatch between the SOC pre-pattern diameter and the BCP molecular weights or overflow of the BCP solution out of the SOC pre-pattern holes. When the SOC pre-pattern diameters were the optimums, DSA holes could demonstrate cylindrical micro phase separations. When the SOC pre-pattern diameters were larger than the optimum diameter, elliptical micro phase separation could be observed, however the cross-sectional SEM image revealed incomplete micro phase separation. Even though the top-down images seem to show good micro phase separations, those cross-sectional images are required to recheck them. These results were also compared with the simulation data based on dissipative particle dynamics and good correspondence between them was verified.
5:45 AM - S9.05
Thermodynamic Pitch Broadening of Self-assembled Block Copolymers and Its Impact on Placement
Henk Boots 1 Tamara Druzhinina 2 Eddy van der Heijden 2 Jessica de Ruiter 3 Joanne Klein-Wolterink 4 Jo Finders 2 Sander F Wuister 2 Hans Fraaije 3 4
1Philips Research Europe Eindhoven Netherlands2ASML Netherlands B.V. Veldhoven Netherlands3Leiden University Leiden Netherlands4Culgi BV Leiden Netherlands
Show AbstractDirected self-assembly of block copolymers is being investigated as an extension of optical lithography. Merits of directed self-assembly are CDU reduction and pitch multiplication. Thermodynamic arguments are useful in the study of the self-assembly process. We have studied self-assembled cylindrical phase PS-PMMA block copolymers in an open lithographic trench (grapho-epitaxy) as function of trench width on full 300 mm wafer scale. Rather than a single pitch a Gaussian spread in cylinder pitch is observed for the commensurate trench widths of 2-6 rows of cylinders. Numerical simulations based on mean field theory were used to calculate the free energy of self-assembled cylindrical phase block copolymers in trenches of quasi-infinite length as a function of the block copolymer pitch. Application of Boltzmann statistics to the calculated free energy distribution leads to good agreement in pitch spread between simulation and experimental data. We conclude that pitch broadening in open trenches is induced by thermodynamics. Numerical simulations predict that truncating the trench will reduce the pitch spread. For application in lithography a fixed pitch between the cylindrical features (contact holes) is required. Pitch spread induces a contact hole placement error. Experimentally strong correlations are found between the pitch deviation (i.e. pitch for a single SEM image minus the average pitch) and the placement error.
S6: Materials for DSA II
Session Chairs
Roel Gronheid
Guillaume Fleury
Tuesday AM, November 27, 2012
Sheraton, 2nd Floor, Back Bay D
9:30 AM - *S6.01
Developing New Materials and Process for Directed Self Assembly Applications
Phillip D Hustad 1 Shih-wei Chang 3 Vivian Chuang 3 Jessica P Evans 1 Valeriy Ginzburg 2 John Kramer 2 Greg F Meyers 2 Dan J Murray 2 Jong Park 3 Rahul Sharma 1 Jeffrey D Weinhold 1 Jieqian Zhang 3 Peter Trefonas 3
1Dow Freeport USA2Dow Midland USA3Dow Marlborough USA
Show AbstractDirected self-assembly (DSA) of block copolymers is a promising technology for advanced semiconductor patterning at future technology nodes. Great strides have been made recently to transition DSA from lab to fab, but significant hurdles remain for large scale commercial implementation. Many features, including block copolymer composition, molecular weight, polydispersity, formulation, and commensurability with directing prepatterns, must be controlled to achieve the stringent targets for defects, LER/LWR, CDU, etc. This talk will provide an overview of Dow&’s research capabilities in block copolymers and discuss DSA from the viewpoint of a resist supplier. Focus will be placed on understanding and optimizing factors critical to success using a combined theoretical and experimental approach. Examples of modeling, including Self-Consistent Field Theory (SCFT) and Strong Segregation Theory (SST), will be presented to guide selection of materials and optimize patterning. Synthetic capabilities will also be highlighted with particular emphasis on BCP purity and development of new materials.
10:00 AM - *S6.02
Self-assembly of Narrowly Dispersed Brush Diblock Copolymers with Very Large Domain Spacings
Thomas Paul Russell 1 Weiyin Gu 1 Huh June 3 Cheomlin Park 3 Sung Woo Hong 1 Brian Sveinbjornsson 2 Robert Grubbs 2
1University of Massachusetts Amherst USA2California Institute of Technology Pasadena USA3Yonsei University Seoul Republic of Korea
Show AbstractDedicated efforts have been made to tune the period and size of microphase domains in block copolymers by varying the segmental interaction parameter, chi; , and the molecular weight of the BCP or number of segments in the chain, N. While smaller is better for some applications, the are also opportunities at the other end of the spectrum, with domain sizes exceeding 100 nm, for optical materials, e.g. polarizers and photonic band gap materials for visible light. Brush copolymers, where two or more different types of side chains are attached to a linear polymer, may open up an alternative approach to fabricate domain spacing with large length scale. The self-assembled morpholgies of high molecular weight (MW), narrow molecular weight distribution brush block copolymers (BrBCPs) containing polylactide (PLA) and polystyrene (PS) side chains with similar MWs were investigated. The polynorbornene-backbone-based BrBCPs containing approximately equal volume fractions of each block self-assembled into highly ordered lamellae with domain spacings greater than100 nm in the bulk, as revealed by small angle X-ray (SAXS). The domain size increased approximately linearly with backbone length, which indicated an extended conformation of the backbone in the ordered state. In situ SAXS measurements suggest that the BrBCPs self-assemble with an extremely fast manner which could be attribute to less entanglements between chains. The strong segregation theory and Monte Carlo simulation also confirm this near-linear dependence of the domain spacing on backbone length, rationalizing experimental results.
10:30 AM - S6.03
Material and Process Enabling Block Co-polymer for Lithographic Application
Guanyang Lin 1 Yi Cao 1 Hengpeng Wu 1 Jian Yin 1 Sungeun Hong 1 Margareta Paunescu 1 Jane Wan 1 Orest Polishchunk 1
1AZ Electronic Materials USA Corp. Somerville USA
Show AbstractDirected self-assembly (DSA) of block co-polymer (BCP) has been quickly emerging as a leading complementary technology for high resolution patterning. DSA utilizes specially designed and prepared block copolymer to enhance resolution of patterns generated by common photolithographic process. Resolution enhancement from 150nm pitch resist pattern to 25nm pitch DSA pattern was demonstrated. Automatic DSA processing line which is compatible with standard 300mm semiconductor fabrication facility was reported. In this presentation, BCPs with wide range patterning resolutions will be reported. Several families of orientation controlling materials and their unique surface properties will be presented. Resulted from novel neutral material development, a new DSA scheme was successfully invented. This scheme has less processing steps than the DSA schemes published in the literature. In addition, the new scheme involves only processing steps which are widely used in regular semiconductor manufacturing process. Therefore, easy implementation and low processing defectivity are expected. DSA performance repeatability and items related to DSA technology commercialization will be discussed as well. Keywords: directed self assembly, DSA, block copolymer, BCP, block copolymer lithography, complementary lithography, orientation control material, neutral material.
10:45 AM - S6.04
Self-assembly of Miktoarm Star Terpolymer Thin Films for Microelectronic Applications
Karim Aissou 1 Hong Kyoon Choi 1 Adam Nunns 2 Ian Manners 2 Caroline A. Ross 1
1MIT Cambridge USA Minor Outlying Islands2University of Bristol, BS8 1TS Bristol United Kingdom
Show AbstractThe self-assembly of ABC miktoarm star terpolymer thin films susceptible to microphase separate, at the nanometer scale, into various tiling patterns are investigated. These materials can produce different morphologies from those of linear triblock terpolymers and which may be useful in device fabrication, but there is little work on their behavior in thin film form. Here we report on the morphological changes induced by (i) the variation of the film thickness and (ii) the introduction of homopolymer on the phase behavior of thin films of miktoarm star polyisoprene-polystyrene-polyferrocenylsilane (PI-PS-PFS, or ISF), with volume fractions of 20:14:66, 39:41:21, 39:35:26 and 40:37:23 and molecular weights of 50 - 55 kg/mol. Annealing was carried out in vacuum at 140°C for 24 hours. Etching the thin films in oxygen revealed the PFS microdomains. PS and PI could be distinguished by staining. The PFS-majority ISF showed lamellae of PFS with alternating rods of PI and PS in between. In the more symmetrical ISF compositions, morphologies included cylinders of PFS in a tricolor hexagonal arrangement designated as [6,6,6]. The orientation of the cylindrical microdomains was dictated by the film thickness, with out-of-plane cylinders observed when the film thickness was incommensurate with the equilibrium period, p (p = 30 nm), and showing a hexagonal symmetry. Homopolymer PS (25 vol % ) was added to the ISF to favor the formation of vertically-oriented cylinders ordered in a tiling pattern with a tetragonal symmetry designated as [8,8,4]. Such a square-symmetry pattern is valuable to the microelectronics industry for definition of vias, etc. To control the long-range order of such phases, miktoarm star thin films were confined in topographic surface patterns. Applications of these materials in microelectronic fabrication and bit-patterned magnetic-storage media will be discussed.
S7: DSA Applications II
Session Chairs
Tuesday AM, November 27, 2012
Sheraton, 2nd Floor, Back Bay D
11:30 AM - *S7.01
Image Quality and Pattern Transfer in sub-15nm Block Copolymer Lithography
Ricardo Ruiz 1 Lei Wan 1 Kanaiyalal C Patel 1 Jeffrey Lille 1 Elizabeth Dobisz 1 He Gao 1 Thomas R Albrecht 1
1HGST, a Western Digital Company San Jose USA
Show AbstractDirected Self Assembly (DSA) has matured to a level that is now much closer for insertion in manufacturing of templates for magnetic bit patterned media or semiconductor devices and contact holes. DSA and feature density multiplication have made it possible to achieve high quality levels in terms of long range order, registration and defect densities dissolving many doubts about its compatibility with industrial applications. The next big challenge may reside in meeting specifications for image quality and pattern transfer below 15nm feature size, dimensions where block copolymers may have their most significant contribution into next generation lithography. In this presentation we review the current status of template fabrication for magnetic bit patterned media by Directed Self Assembly shifting our attention beyond pattern formation and focusing on the critical elements that affect image quality during pattern transfer. Having the fabrication of templates with dense rectangular features in circular tracks at densities in excess of 1Tdot/in2 as our main goal, we discuss the sources of line edge roughness, placement accuracy and shape variations from the various fabrication steps with special emphasis in the contributions and limitations of directed self assembly by block copolymer films.
12:00 PM - S7.02
Measuring the Impact of BCP/Pre-pattern Mismatch in DSA Systems through X-Ray Scattering
Daniel Sunday 1 Elizabeth Ashley 1 R. Joseph Kline 1 Wen-Li Wu 1 Ricardo Ruiz 2 Lei Wan 2 Kaniyalal Patel 2
1NIST North Bethesda USA2Hitachi Global Storage San Jose USA
Show AbstractDirected self-assembly (DSA) of block copolymers shows enormous potential as both an alternative and supplement to traditional optical lithography by providing a route to feature sizes at and below 10nm. The DSA approach utilizes a patterned surface which guides the assembly of the block copolymer into the desired configuration. By utilizing block copolymers with a pitch that is a half or even a quarter of the pattern spacing the resulting density amplification creates feature sizes that cannot be achieved with traditional optical lithography. Inevitably the block copolymer pitch (Lb) and pattern spacing (Lp) will not be perfectly commensurate (i.e. Lp is an integer multiple of Lb) and while the DSA process will clearly be influenced by the mismatch between these two parameters it is unclear to what degree critical parameters such as the pitch shift, line edge roughness (LER) and BCP interfacial boundary profile will be impacted. In order to study this PS-PMMA was self-assembled on top of a radial pattern where the BCP pitch to chemical template spacing ratio (2Lb/Lp) continuously varied from 0.95 to 1.05, allowing a combinatorial study of the effects of compression and stretching on the self-assembly process. Films were characterized by variable-angle transmission small angle x-ray scattering (SAXS) to determine the interfacial profile, LER and sample pitch. The PMMA layer was removed in order to provide improved contrast, and a second sample was prepared where the residual PS block was used as a mask to etch the underlying silicon in order to determine to what extent the original mismatch impacts the desired product, the etched silicon wafer. Clear variations in all 3 critical parameters were observed as the block transitioned from a compressed to stretched state, and these variations, LER and pitch in particular, were transferred to the etched sample. These measurements have allowed us to determine the acceptable limits of mismatch between Lb and Lp which enable the desired pattern to be transferred to the underlying silicon layer in a manner that minimizes defects.
12:15 PM - S7.03
Kinetics in Block Copolymers Directed Self Assembly: An In-situ GISAX Study
Raluca Tiron 1 Xavier Chevalier 2 Patrice Gergaud 1 Mireille Maret 3 Judicael Dubray 1 Ahmed Gharbi 1 Celyne Lapeyre 1 Jonathan Pradelles 1 Vincent Jousseaume 1 Guillaume Fleury 4 Georges Hadziioannou 4 Nathalie Boudet 5 Christophe Navarro 2
1CEA-LETI Grenoble France2ARKEMA Lacq France3CNRS-UJF Grenoble France4LCPO-UMR 5629 Bordeaux France5ESRF Grenoble France
Show AbstractSelf-assembly of block-copolymers (BCP) used in conjunction with the most advanced exposure tools may enable extension of current manufacturing practices to dimensions of 10 nm and less. Nevertheless the control of the mechanisms that determine the quality of self-assembly process on patterned substrates is required to be understood to develop large-scale robust processes. The main goal of this study is to bring new evidences for the understanding of thermo-dynamical mechanism governing self-assembly process guided by lithographic patterns. Induced defects are up to now investigated by SEM image analysis. Such treatment may be used in order to give information on the defect evolution. For instance, from the centres of self assembled perpendicular cylinders, a Delaunay triangulation allows to find nearest neighbours and to easily locates disclinations [1]. Nevertheless, even if the number of defects can be detected and reported, the method is not exhaustive due to the compromise between the image resolution requested for numerical treatment and the inspected area needed to perform good statistics. Furthermore, it cannot be used in-situ to extract any information on the kinetics of the diffusion mechanisms. In contract, Grazing-Incidence Small-Angle X-ray Scattering (GISAXS) brings statistical information and has strong sensitivity to spatial organisation. The advantages of this technique have been already demonstrated by several teams in order to characterize the order in BCP on free surface [2] or guided by sapphire reconstructed surface [3], as well as in situ measurements under solvent annealing [4]. In this study from ex-situ & in-situ GISAXS measurements we have followed the self assembly process governed by thermal annealing and guided by e-beam pre-patterned surface (graphoepitaxy approach). The experiments were carried out at the European Synchrotron Radiation Facilities on the CRG-BM02 beamline, using photon energy of 9.8 keV, on PS-b-PMMA polymers from Arkema. In-situ measurements were carried out on films annealed at different temperatures, on a heater stage under vacuum. First, characterization methodology was implemented and integration time optimised in order to limit polymer degradation under photon exposure. Then thermal treatment parameters were tuned such as heating rate, time and temperature. It was found that thermal treatment led to complex changes of polymer structure, which occurred both during heating and cooling of BCP. Finally our studies were focused on the correlation between the defects density and the commensurability between BCP and line arrays periods. Samples generated by graphoepitaxy, with BCP thicknesses superior to the guiding pattern height were analyzed. Our results show that the film thickness and commensurability has important impact BCP behaviour. 1. R.Tironet al, JVST 2011 B29 06F206 2. P.Busch et al, Macromol.2007 40 630 3. S.Park et al, Science 2009 323 1030 4. M.Paik et al, Macromol. 2010 43 4253
12:30 PM - S7.04
Multi-functional Self-assembled Silicon Oxide Nanostructures for Nonvolatile Memory Device Applications
Woon Ik Park 1 Kyungho Kim 1 Jong Min Kim 1 Jae Won Jeong 1 Byoung Kuk You 1 Jungdae Kwon 2 Keon Jae Lee 1 Yeon Sik Jung 1
1KAIST Daejeon Republic of Korea2Korea Institute of Materials Science Changwon Republic of Korea
Show AbstractFor the last decade, there has been enormous interest in the self-assembly of block copolymers (BCPs) due to their excellent abilities to overcome the challenges of conventional nanofabrication technologies and to produce diverse nanostructures. BCPs, consisting of two or more mutually incompatible blocks, can generate periodic arrays of various features such as dots, lines, holes and rings with sub-20 nm dimensions. BCP nanolithography is considered to be a useful methodology for the sub-16-nm nodes due to the excellent resolution, cost-effectiveness, and scalability. However, the self-assembled nanostructures are, in general, non-functional, and thus they are typically used as etch-masks or lift-off templates for fabricating functional nanostructures. In this work, we demonstrate how a large-scale array of silicon oxide nanostructures, which are obtainable from the self-assembly of Si-containing BCPs, can be applicable to resistive memories and phase change memories. First, the self-assembled memristor nanostructures formed on properly surface-functionalized electrodes showed clear unipolar resistive switching behaviors with appropriate set and reset voltages. This approach is practical in that it realizes the direct formation of aligned memory elements with a very high density (~0.5 terabits per square inches) without using high-cost lithography techniques. We also demonstrate that the self-assembled nanostructures can significantly reduce the power consumption of phase-change memories. Insulating silicon oxide nanostructures, which locally block the contact between a heater electrode (TiN) and a phase-change material (Ge2Sb2Te5), can reduce the writing current of PCM devices by up to 5 times, depending on their occupying area fractions. This simple self-assembly technology may be generally applicable to various kinds of nonvolatile memory devices for the significant enhancement of performances.
12:45 PM - S7.05
Self-assembly-enhanced Nanotransfer Printing with Sub-10 nm Resolution
Jae Won Jeong 1 Woon Ik Park 1 Yeon Sik Jung 1
1Korea Advanced Institute of Science and Technology Daejeon Republic of Korea
Show AbstractNanotransfer printing (nTP) using patterned elastomeric molds has shown great potential for conveniently generating various functional nanostructures. The achievement of a sub-10 nm resolution using nTP adds an important technological significance in that well-aligned quantum wires with unusual properties that result from the quantum size effect can be produced for applications in printed electronic devices with significantly enhanced performances. However, in previous approaches, the minimum possible dimension of nTP has been limited to approximately 50 nm primarily due to the collapse and merging of the molds during transfer printing, resulting from the low modulus of elastomers. In this work, an extraordinarily facile nanofabrication approach that enables sub-10 nm resolutions through the synergic combination of nTP and the directed self-assembly of block copolymers is introduced. The approach was realized by achieving the uniform self-assembly of polydimethylsiloxane (PDMS)-containing block copolymers on a PDMS mold through the stabilization of the block copolymer thin films. Extremely hydrophobic nature of PDMS enables complete release of the block copolymer thin film from the mold and the transfer-printed thin films can be converted into well-aligned sub-10 nm structures via plasma oxidation. This simple printing method does not require liquid bridges or heat for its implementation and can be applied on various substrates such as oxides, metals, polymers, and non-planar substrates without pretreatments. The fabrication of well-aligned metallic and polymeric functional nanostructures and crossed wire structures is also presented. This methodology would be particularly useful for enhancing the performances of printed electronic devices due to its extremely high resolution, large-area scalability, cost effectiveness, and versatility. [Reference: J.W. Jeong et al., Advanced Materials, 2012 (Early View)]