Symposium Organizers
Philippe Fauchet, University of Rochester
Luca Dal Negro, Boston University
Susumu Fukatsu, University of Tokyo
Tony van Buren, Lawrence Livermore National Laboratory
Caroline Bonafos, "Universite de Toulouse CEMES-CNRS, Groupe Nanomat"
Symposium Support
Lawrence Livermore National Laboratory
DD3: Nanowires-based Materials and Devices II
Session Chairs
Monday PM, November 26, 2012
Hynes, Level 2, Room 207
2:30 AM - *DD3.01
Gold-free Synthesis of Group IV Nanowires and Their Hybrid Heterostructures
Anna Fontcuberta i Morral 1
1EPFL Lausanne Switzerland
Show AbstractNanowires are filamentary crystals with a diameter in the order of few to hundred nanometers. Thanks to their novel properties and particular shape, they have revolutionized the area of semiconductors both in fundamental and applied science. To industry, it is particularly appealing the possibility of synthetizing bottom up defect-free silicon nanowires, which his possible by the vapour-liquid-solid method (VLS). In VLS a metallic nanoparticle is used to gather growth precursors, leading to the growth of a nanowire at the interface of the catalyst with the substrate. The most commonly used metal is gold, though it has been shown that it significantly reduces the electronic properties of silicon. Here we present an alternative to the VLS synthesis to gold. We show our results in the area of synthesis of Si and Ge nanowires by using alternative metals such as Ga, In and Bi. These results open the path to high quality and CMOS compatible silicon nanowires. Finally, we will show our latest results in the area of hybrid heterostructures in which silicon is integrated to III-V nanowires. This new type of sturctures open new perspectives in terms of optoelectronic applications and fundamental science. [1] I. Zardo, L. Yu, S. Conesa-Boj, S. Estradé, P.J. Alet, J. Rössler, M. Frimmer, P. Roca i Cabarrocas, F. Peiroacute;, J. Arbiol, J.R. Morante, A. Fontcuberta i Morral, Nano-technology 20, 155602 (2009) [2] Y. Xiang, L. Cao, J. Arbiol, M. Brongersma, A. Fontcuberta i Morral, Appl. Phys. Lett. 94, 163101 (2009) [3] Y. Xiang, L. Cao, S. Conesa-Boj, S. Estrade, J. Arbiol, F. Peiro, M. Heiβ, I. Zardo, J.R. Morante, M.L. Brongersma, A. Fontcuberta i Morral, Nanotechnology 20, 245608 (2009) [4] S. Conesa-Boj, I. Zardo, S. Estrade, L. Yu, P.J. Alet, P. Roca i Cabarrocas, J.R. Morante, F. Peiroacute;, A. Fontcuberta i Morral, J. Arbiol, Cryst. Growth. Design, 10, 1534 (2010) [5] I. Zardo, S. Conesa-Boj, S. Estrade, L. Yu, F. Peiro, P. Roca i Cabarrocas, J.R. Morante, J. Arbiol, Appl. Phys. A 100, 287 (2010)
3:00 AM - DD3.02
Modulation of Germanium Nanowire Diameter by Controlling the Growth Temperature for Device Application
Marolop Dapot Krisman Simanullang 1 Tomohiro Noguchi 1 Akhmadi Surawijaya 1 Koichi Usami 1 Tetsuo Kodera 1 Yukio Kawano 1 Shunri Oda 1
1Tokyo Institute of Technology Meguro-ku Japan
Show AbstractWe have previously reported the growth of the germanium nanowires (Ge NWs) with a single-step temperature method via vapour-liquid-solid (VLS) mechanism in the hot-wall low pressure chemical vapour deposition (CVD) reactor at 300, 280 and 260°C. The Ge NWs grown at 300°C tend to have a tapered structure and the nanowire sidewalls are observed to be decorated with gold catalyst. The Ge NWs grown at 260°C has a straight and ultra-narrow structure and the nanowire sidewalls are not decorated with gold catalyst. It has been reported that the diameter of the nanowire has a high correlation with the growth temperature and pressure. Here, we report our investigation on the effect of the modulated growth temperature upon the diameter of the nanowire while the chamber pressure was kept constant. The growth temperature was initiated at 320°C for 5 min and then ramped down gradually to 260°C at which the axial growth of the nanowire took place for 20 min. Our observation with the SEM showed that the diameter of the nanowire at the base was larger and decreased orderly towards the nanowire tip as an effect of the decrease in the growth temperature. The shape of the nanowire which was grown at 260°C for 20 min was straight and narrow. With this method, both tapered and straight structure of the nanowire can exist together and be modulated accordingly. This result offers a method of synthesising crystalline Ge NWs with modulated diameter. The bandgap modulation in the nanowire with modulated diameter introduces a potential for performance improvement of solar cell and photonic devices. The Ge NWs grown with single-step temperature and modulated temperature technique were utilised to fabricate Ge NW device. The Ge NW device characteristics showed p-channel Schottky transistor characteristics due to the presence of the Fermi level pinning.
3:15 AM - DD3.03
The Influence of Planar Defects during Germanium Nanowire Growth
Andrew David Gamalski 1 Peter W Voorhees 4 Caterina Ducati 2 Renu Sharma 3 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom3National Institute of Standards and Technology Gaithersburg USA4Northwestern University Evanston USA
Show AbstractImplementing bottom-up grown semiconductor nanowires (NW) in photonics, sensors, and energy storage devices will require a detailed understanding of how defects influence NW growth. We present environmental transmission electron microscopy video data of Au catalyzed Ge NW growth during digermane exposure at 340 °C. The catalyst particles are liquid after gas exposure [1] and Ge NWs grow by periodic step nucleation from the liquid Au-Ge alloy [2]. At lower temperatures around 280 °C, the system reaches a steady state where the catalyst adopts a metastable solid phase [3]. Here we focus on the NW/liquid interface which displays a V-shaped geometry during growth [4]. We explore how such interfaces change the kinetics of NW growth and the process of atomic step nucleation. [1] A. D. Gamalski, J. Tersoff, R. Sharma, C. Ducati, and S. Hofmann, Nano Lett., 10, 2972 (2010) [2] A. D. Gamalski, C. Ducati, and S. Hofmann, J. Phys. Chem. C, 115, 4413 (2011) [3] A. D. Gamalski, J. Tersoff, R. Sharma, C. Ducati, and S. Hofmann, Phys. Rev. Lett., Accepted (2012) [4] A. D. Gamalski, C. Ducati, R. Sharma, and S. Hofmann, submitted (2012)
3:30 AM - DD3.04
Catalyst Choice for Chemical Vapor Deposition of Silicon Nanowires
John Robertson 1
1Cambridge University Cambridge United Kingdom
Show AbstractThe catalytic growth of Si and III-V nanowires tends to use Au as a catalyst in either a vapor-liquid-solid (VLS) or vapor-solid-solid (VSS) process. However, gold is undesirable as a catalyst because it is a fast diffuser and gives rise to deep gap states, so there is a search for alternatives [1]. The choice of catalyst is often carried out in terms of the metal-Si phase diagram [1]. But process [2] and in-situ TEM data [3] show that the Si NW growth rate is limited by the surface reaction rate of the precursor, not by Si diffusion rate across the Au nanoparticle. Therefore, we can analyse nanowire growth rates by the reaction kinetics on transition metal surfaces using a standard model of heterogeneous catalysis reactions as developed by Norskov et al [4]. This relates the reaction activation energy to the absorbate binding energy, in a scaling relationship than holds across the transition metal series. In this, transition metals to the left tend to be poor catalysts because they over-bind the product, whereas transition metals to the right tend to be poor catalysts because they are poor at dissociating the reactant, so the best catalyst lies between these two extremes, giving in a ‘volcano plot&’. This model works well for hydrocarbon reactions (as in CNT synthesis) because metal-carbon bond strengths and metal carbide heats of formation fall monotonically across the TM series from Ti to Cu or Au. But for Si NWs, this says that Au and Cu are as good as it gets, as these have the least stable silicides. The heat of formation of TM silicides does not vary monotonically along the series, it is lowest for Au and Cu, but it also has a subsidiary minimum for Mn. In this view, Ti or Pd are not such fast catalysts. 1. V Schmidt, hellip; U Gosele, Adv Mats 21 2681(2009) 2. G A Bootsma, H J Gassen, J Crystal Growth 10 223 (1971) 3. S Kodambaka, et al, Phys Rev Lett 96 096105 (2006) 4. J K Norskov, et al, J Catal 209 275 (2002); F Abild-Pedersen, et al, Phys Rev Lett 99 016105 (2007) 5. S Meschel, O J Kleppa, J Alloys Compounds 321 183 (2001)
3:45 AM - DD3.05
Changes in Mechanical Properties of Si1-xGex Nanowires by the Effects of Chemical Structure
Mann-Ho Cho 1 Jinwon Ma 1 Woojung Lee 1 Jungmin Bae 1 Kwangsik Jeoung 1 Jonghyun Seo 2 Jaehong Noh 2 Jaepyoung Ahn 2 Kwunbum Chung 3
1Yonsei University Seoul Republic of Korea2KIST Seoul Republic of Korea3Dankook University Cheonan Republic of Korea
Show AbstractSi1-xGex (X = 0, 0.6, 0.75, 0.9, and 1) nanowires with [111] growth direction were prepared by a Vapor-Liquid-Solid procedure using Au as a catalyst. As a function of alloy composition, the Young&’s modulus and fracture strength of Si1-xGex nanowires (NWs) were measured in the tensile experiments. The Young&’s modulus of Si1-xGex NWs decreased as the Ge fraction increased, which results were compared with density functional theory (DFT) calculations and DFT calculations indicate a linear relation of the Young&’s modulus and fracture strength between Si and Ge NWs. However, in the tensile experiments, the fracture strength of Si1-xGex NWs did not show linear relation between Si and Ge NWs. As the Ge fraction increased, while the fracture strength shown DFT calculations increased, the fracture strength of Si1-xGex (X=0.6, 0.75, and 0.9) NWs in the tensile experiments decreased contrary to expectations. Through examining the chemical structure of Si1-xGex NWs by x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy, we confirmed that above results are related to the presence of interstitial Ge atoms generated during the growth of Si1-xGex NWs. Only after vaporization of interstitial Ge atoms through rapid thermal annealing (RTA), the fracture strength and the strain of NWs were increased with a higher Ge fraction.
DD4: Fabrication of Si and Ge Quantum Structures
Session Chairs
Monday PM, November 26, 2012
Hynes, Level 2, Room 207
4:30 AM - DD4.01
Size Dependence of Energy Band Alignment for Si Nanocrystals Embedded in SiO2
Gabriele Seguini 1 Michele Perego 1 Paolo Pellegrino 3 Celia Castro 2 4 Sylvie Schamm-Chardon 2 4
1IMM-CNR Agrate Brianza (MB) Italy2CNRS Toulouse France3Universitat de Barcelona Barcelona Spain4Universitamp;#233; de Toulouse Toulouse France
Show AbstractThe non-direct nature of the Si band gap prevented the integration of photonics functionalities in microelectronics devices. Scaling down the Si dimensions and considering Si nanocrystals (ncs) embedded in SiO2 host matrix quantum effects strongly modify Si properties. The possibility of tunable luminescent emission is the most evident and studied behaviour of the Si-ncs/SiO2 system. Despite the wide interest of the scientific community for this specific system, an experimental evaluation of the Si ncs energy alignment in the SiO2 host as a function of ncs size is still lacking. In this work the synthesis of 2-dimensional arrays of Si ncs in SiO2 matrix was achieved by e-beam deposition of SiO2/SiO/SiO2 multilayer structures followed by high temperature (1050°C, N2) thermal treatment. The size of the Si ncs was controlled by changing the thickness of the SiO layer as verified by energy filtered transmission electron microscopy. Photoluminescence measurements indicated a clear increase of the Si ncs band gap with the reduction of their size. The experimental determination of the energy band alignment of Si ncs in a SiO2 matrix was achieved through independent measurements of their conduction (CB) and valence (VB) band energy positions in the SiO2 host by means of photo-ionization and capacitance spectroscopy, respectively. [1] Both techniques capacitively detect the localized charges in ncs by monitoring the shift of the flat band voltage, once a variation in the electrical charging is achieved by applying a short bias stress or monochromatic light, respectively. The correlation between the experimental data provides a self-consistent and comprehensive picture of the ncs energy band alignment in the SiO2 matrix. According to the experimental results, in the large nanocrystals (diameter ~ 5 nm) the opening of the indirect silicon band gap is asymmetric with significant CB shift (~ 0.4 eV) and almost negligible VB shift with respect to bulk silicon. Shrinking the size of the Si ncs, no further evolution of the CB offset is observed while a progressive increase of the VB offset is detected. This behavior results in a perfectly symmetric distribution of the opening of the band gap for small Si ncs with diameter ~ 2 nm. These data are consistent with experimental results available in the literature and well correlate with theoretical models predicting the distribution of the band gap opening basing on the superimposition of the effects related to the increase of the surface to volume ratio and of the quantum confinement phenomena. This research activity has been funded by the NANO-BLOCK project. [1] G. Seguini et al., Appl. Phys. Lett., 99, 082107 (2011).
4:45 AM - DD4.02
Hexagonal Nanopatterning of Si Quantum Dots
Sylvie Schamm-Chardon 1 Celia Castro 1 Gerard BenAssayag 1 Michele Perego 2 Gabriele Seguini 2 Andrea Andreozzi 2
1CNRS-CEMES Toulouse cedex4 France2IMM-CNR Agrate Brianza Italy
Show AbstractInterface states and confinement effects in quantum dots are of particular interest for developments in nano-electronics, optoelectronics and photovoltaics. In particular, embedded in a dielectric matrix, Si nanocrystals (NCs) can be integrated in active devices as charge trapping elements in non volatile memory devices or light emitters. However, most of the fabrication processes lead to random distributions of the NCs that induce fluctuation in performances. In order to increase the scaling capabilities of these devices, an accurate control on Si NCs positioning is highly required. Among the different technological routes explored to synthesize NCs in the gate oxide of MOS devices, the ultra-low energy ion-beam-synthesis (ULE-IBS) technique has received substantial attention due to its flexibility and manufacturing advantages. ULE-IBS allows the formation of randomly distributed NCs within a plane at few nanometers below the silica film surface with a quite easy manipulation of the NCs population characteristics and plane depth position. We explored further the use of this technique in order to organize the NCs within the plane. In particular, we investigated for the first time the capabilities of combining ULE-IBS with self-assembly of block copolymers (BC). Under suitable conditions BC microphases separate between incompatible blocks and self-assemble into different periodic nanostructures. In the form of thin films, the domains order with respect to each other and the surfaces. After selectively removing one of the two components, these thin films can be used as soft mask for nanolithographic processes being extremely promising to overcome the size scale limitations of conventional photolithography techniques. In this work, asymmetric PS-b-PMMA copolymer is used to form hexagonally close-packed nanoporous PS masks with 17 and 20 nm pore diameters and 33 nm pitch. ULE-IBS of Si ions is performed through the masks and followed by thermal annealing in order to form localized Si nanocrystals. ToF-SIMS analysis of the as-implanted samples with and without BC indicated that masking does provide the expected shadowing effect, while does not modify the fluence through the pores. Energy filtered transmission electron microscopy (EFTEM) imaging evidenced the formation of pockets with a limited number of Si NCs arranged at the node of a hexagonal array. Control on the organization of the Si NCs in terms of reproducibility and sensitivity to ULE-IBS fluence, annealing duration and BC pore size is discussed on the basis of the characteristics (size, density and in plane distribution) of the silicon NCs determined from EFTEM images. This work was financially supported by the NANOBLOCK project.
5:00 AM - DD4.03
Ordering in Epitaxial SiGe Quantum Dots
George Vantarakis 1 2 Ioannis Remediakis 2 Pantelis C. Kelires 1
1Cyprus University of Technology Limassol Cyprus2University of Crete Heraclion Greece
Show AbstractDuring Ge on Si(100) heteroepitaxy, nanoislands of various shapes develop and self-organize. Much work until today has been devoted to the elucidation of the composition profile in the islands due to intermixing and alloying. The atomic distribution for several years was thought to be random, much as like in bulk SiGe alloys. Recently, however, a surprising observation was made. X-ray diffraction studies clearly revealed atomically ordered SiGe domains in dome-shaped islands [1]. The ordering is weak to moderate, it persists up to a growth temperature of 840 C, having a maximum at 700 C, and survives also under annealing conditions. Here, we present our recent work [2] which shed light and explained this ordering effect. We show, through atomistic Monte Carlo simulations, that this ordering is a surface-related phenomenon, and that is driven by surface equilibrium rather than by surface kinetics. The ordering depends on facet orientation. The main source of ordering is the {15 3 23} facet, while the {113} and {105} facets contribute less. Subsurface ordered configurations self-organize under this facet and are frozen-in and buried during island growth, giving rise to the ordered domains. Ordering mechanisms based on constrained surface kinetics are shown to be much less likely. [1] A. Malachias et al., Phys. Rev. B 72, 165315 (2005). [2] G. Vantarakis, I.N. Remediakis, and P.C. Kelires, Phys. Rev. Lett. 108, 176102 (2012).
5:15 AM - DD4.04
Shape Variations of Si Nanoparticles Embedded in SiO2 by Switching the Nucleation Mode
Celia Castro 1 Sylvie Schamm-Chardon 1 Gerard BenAssayag 1 Andrea Andreozzi 2 Gabriele Seguini 2 Michele Perego 2 Paolo Pellegrino 3
1CNRS/CEMES and Universitamp;#233; de Toulouse Toulouse France2IMM-CNR Agrate Brianza Italy3Universitat de Barcelona, MIND, IN2UB Barcelona Spain
Show AbstractSi nanocrystals (NCs) embedded in SiO2 matrix have shown efficiency by limiting gate leakage, information loss and increasing reliability in non-volatile memories when replacing classical polysilicon floating gate in the context of downscaling. Extensive studies have been proposed to establish the relationship between the Si NCs population characteristics (size and density) and their performances. To go further in the fine understanding of properties such as charge trapping, band energy positions or photoluminescence, the shape and crystallization state of the nanoparticles have to be considered. If spherical shape is generally the most stable, here we want to explore how the shape of Si NCs embedded in SiO2 matrix can be influenced by different nucleation modes associated to different fabrication processes. In particular, ultra-low energy ion implantation (ULE-IBS) and e-beam deposition of a SiO2/SiO/SiO2 multilayer structure have been investigated. In both cases, a plane of Si NCs is formed after a high temperature (1050°C, N2) thermal treatment embedded at a controlled depth in a thin silica film. The Si NCs characteristics (distribution, size, density and shape) have been determined by a systematic analysis of images provided by energy filtered transmission electron microscopy along two orthogonal directions corresponding to plan-view and cross-section configurations. The transition from spherical shape to more complex structures is clearly observed when increasing the fluence of the ion beam implantation or the thickness of the e-beam deposited SiO film. Optical and electronic properties will also be illustrated with shape evolution. This research activity has been funded by the NANO-BLOCK project.
5:30 AM - DD4.05
Highly Strained Si- and Ge-Structures for Micro- and Opto-electronic Applications
Martin J. Sueess 1 2 3 Richard Geiger 1 4 Renato A. Minamisawa 1 Jacopo Frigerio 5 Daniel Chrastina 5 Giovanni Isella 5 Ralph Spolenak 2 Jerome Faist 4 Hans Sigg 1
1PSI Villigen Villigen Switzerland2ETH Zamp;#252;rich Zamp;#252;rich Switzerland3ETH Zamp;#252;rich Zamp;#252;rich Switzerland4ETH Zamp;#252;rich Zamp;#252;rich Switzerland5Politecnico di Milano Como Italy
Show AbstractDuring the last decade strain engineering has been intensively employed to optimize micro- and optoelectronic properties of semiconductors, which are now widely implemented into CMOS microprocessors, e.g. as high mobility strained Si channels in MOSFETs. Another promising application of strain is the band structure modification of Ge, which would allow the realization of a CMOS compatible laser for high performance interconnects. Theoretical works predict that both 1.7 - 2.2 % biaxial and 3 - 6 % uniaxial tensile strain cause a crossover of the Γ- and L-band, transforming the indirect band gap to a direct one. However, current fabrication techniques with this purpose provide only moderate strain levels and rely on heavily doped material. Here, we present the implementation of a new method enabeling the fabrication of highly uniaxial tensile strained structures from potentially any layered semiconductor material. We demonstrate this by reaching strain levels of 4% in both Si and Ge. Free-standing nano- and micro-constricted structures were patterned in biaxially pre-strained Si and Ge layers, using a CMOS compatible top-down approach. Exploiting Hooke's law the stress is concentrated in the constriction, which, in turn, leads to an increase of strain in the constriction at expense of the strain relaxation of the pads. Si nanowires were fabricated from a strained 15 nm industrial SOI substrate with an initial biaxial strain of 0.8 %. The Ge starting material was 1.4 mu;m thick Ge layer grown on 300 nm SOI substrates at 500 °C with low pressure plasma enhanced CVD, followd by several thermal cycles between 600 and 780 °C. The mismatch of thermal expansion coefficients between Si and Ge induces a strain of 0.185 % upon cooling. Substrates were patterned by e-beam lithography and released from the buried oxide by wet etching. The dimensions of the structures enable accurate control of strain levels in both materials. Strain distributions in our structures were calculated using finite element methods and perfectly matched to Raman measurements, showing Raman shifts up to 15 cm-1 (Si) and 5 cm-1 (Ge). For this purpose the simulated strain was used to caclulate theoretical Raman shifts using the well-known force constant tensor elements and the secular matrix. Up to 4.5 % longitudinal strain (5.5x enhancement) were achieved in 15 nm thick and 30 nm wide Si nanowires, and 4 % (22x enhancement) in 2 x 2 µm2 Ge microstructures. In summary, we present a robust method to fabricate Si and Ge with hitherto unseen levels of strain, which can readily undergo further processing for extraction of physical properties in unexplored regimes.
DD5: Poster Session
Session Chairs
Monday PM, November 26, 2012
Hynes, Level 2, Hall D
9:00 AM - DD5.01
New Thermodynamic Theory on Temperature-dependent Growth of Semiconductor Nanostructures
Yuanyuan Cao 1 Guowei Yang 1
1Sun Yat-Sen University Guangzhou China
Show AbstractAs promising candidates for future devices in optoelectronics and microelectronics applications, semiconductor nanostructures such as nanowires (NWs), and quantum dots (QDs) and quantum rings (QRs), have been intensively studied for their basic physical properties in low-dimensional systems. The previous theoretical treatments on the growth mechanisms of semiconductor nanostructures are always thermodynamics-based energy theories. In the simple energetic model, nanostructures would tend to locate in stable state while the energy would go to minimum which drive the nanostructures to evolve. However, the energy model always chooses thermal equilibrium and completely neglects thermal fluctuations because high entropic gains of the (thermodynamically extensive) interface would lead to various stable states. It is a pity that the energy theory cannot address the effect of temperature, which is one of the most important growth conditions to affect the evolution of nanostructures in experiments. Therefore, based on the fundamental energy theory, we introduce a thermodynamic theory treatment include thermal effect within the context of a statistical mechanical and quantum mechanical model to understand the temperature-dependent growth characteristics [1]. Based on the Hamiltonian deduced from the thermal fluctuations and energy potential, the critical temperature related to the stability of nanostructures can be obtained. We have successfully addressed some typical temperature-dependent growth behaviors of various nanostructures by introducing the thermal effects to the energy model. The temperature-dependent growth of the NW orientation from substrate upon the vapor-liquid-solid process has been investigated [2]. The Hamiltonian deduced from the surface oscillation and the energy potential of NW nucleus determines the relationship between critical temperature and the growth direction. Furthermore, our theoretical model can be established to pursue the stability of QR and QD with respect to thermal effects in the droplet epitaxy [3]. The critical temperature provides a means to separate regimes of preferential QR and QD formation. Moreover, the thermal stability of wetting layer in QD self-assembly can also be addressed by our theoretical model [4]. The thickness of the wetting layer is related to the growth temperature, and the critical temperature deduced by the QD potential energy can be the QD thermal stability dividing line. Accordingly, the agreement between the theoretical results in the three specific examples and experiments suggests that the developed model is general and applicable to the understanding and design of the growth of various nanostructures. References [1] C. Nisoli, D. Abraham, T. Lookman and A. Saxena, Phys. Rev. Lett. 102, 245504 (2009).[2] Y. Y. Cao and G. W. Yang, J. Phys. Chem. C 116, 6233 (2012).[3] Y. Y. Cao and G. W. Yang, Appl. Phys. Lett. 100, 151909 (2012).[4] Y. Y. Cao and G. W. Yang, J. Appl. Phys. 111, 093526 (2012).
9:00 AM - DD5.02
Nanostructure and Strain Field in Vertically Aligned Ge Quantum Dots for Si/Ge 2D Photonic Nanocrystals
Takanori Kiguchi 1 Yusuke Hoshi 1 Takeshi Tayagaki 2 3 Noritaka Usami 1
1Tohoku University Sendai Japan2Kyoto University Uji Japan3Japan Science and Technology Agency Chiyoda-ku Japan
Show AbstractIt is widely recognized that the Ge/Si (100) system has the thermodynamic tendency to fabricate the self-organized arrays of Ge quantum dots (QDs). Recently, we have utilized this nature in order to fabricate the novel solar cell based on two-dimensional photonic nanocrystals [1]. The self-organization of Ge QDs is associated with the diffusion of Ge atoms together with the elastic deformation around the QDs, and then, the internal strain would affect the formation of the QDs. Recently, strain or deformation fields became directly detectable using quantitative high-resolution transmission electron microscopy (HRTEM) [2], and we have clarified the local strain fields in thin films, superlattices, etc. [3,4]. The motivation of the present study is to clarify the local strain modulations in Ge/Si(100) system at nanometer scale. Ge/Si multi-layers were grown on Si(001) wafers by a gas-source molecular beam epitaxy system using disilane and germane as source gases [1]. The structural and compositional analyses were conducted by HRTEM, high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) and energy dispersive X-ray spectroscopy (EDS). In particular, we attempt to clarify the local strain fields using geometric phase analysis (GPA) of HRTEM images [2]. Vertical array of Ge QDs were formed in Ge/Si multi-layers. The typical dot size is 80-180nm in width and about 7nm in thickness. The lateral interval of the QDs was 100-200nm. While, 2nm thick Ge thin layers remain between adjacent vertical QDs. The bottom interface of the QDs is relatively steep, however, the upper side is blurred towards a Si spacer. This result indicates that the Ge-Si intermixing has progressed between Ge and Si layers. There is almost no misfit dislocation at the Ge/Si interfaces, which indicates the relaxation of lattice mismatch energy by the elastic deformation of the QDs. Local strain mappings derived from HRTEM images indicated that the difference of the lattice parameters between Ge and Si in the lateral direction was subtle, while, that in the vertical direction show periodic modulation: The lattice parameter of the Ge QDs in the out-of-plane direction is about 3% longer than that of the reference Si wafer; the lattice parameter of the Si spacer is shorter than that of the Si wafer by about 1%. These results directly show the vertical alignment of the Ge QDs with local lattice deformation by strain relaxation at coherent interfaces. [1] N. Usami, W. Pan, T. Tayagaki, S.T. Chu, J. Li, T. Feng, Y. Hoshi, and T. Kiguchi, Nanotechnology, 23, 185401 (2012). [2] M.J. Hyuml;tch, E. Snoeck and R. Kilaas, Ultramicroscopy, 74, 131 (1998). [3] T. Kiguchi, T.J. Konno, N. Wakiya, H. Morioka, K. Saito, and K. Shinozaki, Mater. Sci. Eng. B, 173, 220 (2010). [4] T. Kiguchi, K. Aoyagi, Y. Ehara, H. Funakubo, T. Yamada, N. Usami, and T.J. Konno, Sci. Technol. Adv. Mater. 12, 034413 (2011).
9:00 AM - DD5.04
Quantitative Analysis of Raman Spectra in Si/SiGe Nanostructures
Selina Mala 1 Leonid Tsybeskov 1 Jean-Marc Baribeau 2 Xiaohua Wu 2 David J Lockwood 2
1NJIT Newark USA2National Research Council Ottawa Canada
Show AbstractWe present comprehensive quantitative analysis of Raman spectra in SiGe one-(nanowires) and three-(Si/SiGe cluster multilayers) dimensional nanostructures. In most of our Raman measurements, the signal-to-noise ratio is approaching 10, 000. In addition to major Raman peaks at approximately 520 (Si-Si), 415 (Si-Ge), 295 (Ge-Ge) cm-1respectively, Raman features at 250, 369, 438, and 618 cm-1 are clearly detected. We find that the Raman spectra baseline is due to the sample surface imperfection and instrumental response associated with the stray light; thus, it can be identified, fitted and properly subtracted. The found asymmetry and broadening of the Raman spectra are associated with strain and disorder. Strain is estimated from the frequency shift of the Raman peaks, and we find that in Si/SiGe cluster multilayers the Si spacer layer sandwiched between SiGe layers is under tensile strain and SiGe layers are under compressive strain. In one-dimensional (nanowire) Si/Ge and Si/Ge/Si heterojunctions, Raman spectra reveal mechanism of strain relaxation associated with SiGe intermixing, nanowire bending and formation of highly-disordered, nearly amorphous inclusions. These conclusions are supported by high-resolution transmission electron microscopy measurements.
9:00 AM - DD5.05
Photoluminescence and Electroluminescence of Polymorphous Silicon Carbon
Junzhuan Wang 1 Linwei Yu 2 Pere Roca 2 Yi Shi 1
1Nanjing University Nanjing China2Ecole Polytechnique Palaiseau France
Show AbstractSi-based light emission diodes (LEDs) and laser are indispensable components to realize a CMOS compatible optical integration.[1,2] While the indirect bandgap of bulk Si is known to cause significant carrier quenching during the lasing process and impose fundamental limits on luminescence efficiency, a commonly adopted strategy is to adopt silicon nanocrystals (nc-Si) embedded in wide bandgap dielectrics, where strong size confinement effect can help to engineer the bandgap profile to achieve effective and tunable luminescence. Among all these dielectrics, hydrogenated amorphous silicon carbon (a-SiCx:H) features a relatively lower bandgap in the range of 2.0 to 3.0 eV, making it favorable for carrier injection in electroluminescence diodes at a lower turn-on voltage. We adopted a gas-phase nucleation paradigm to incorporate nc-Si clusters into a high quality a-SiCx:H matrix. This nanostructure material, to which we refer as polymorphous silicon (pm- Si1-xCx:H) can be deposited in a plasma enhanced chemical vapor deposition (PECVD) system at a low temperature <200 oC. We have realized tunable PL emission in the visible from nc-Si in the pm-SiC:H thin film has been demonstrated.[3] Significant enhancement in PL performance can be achieved by applying different passivation strategies suppressing the non-radiative recombination centers surrounding the nc-Si in the matrix. In this study, we focus on the EL process in the pm- Si1-xCx:H thin film, sandwiched in a n-i-p structure. We investigate an unusual forming process, identified during initial EL stressing, in Si-rich a-SiC:H thin films. The after-forming sample shows strong and stable EL emission, in both the visible (1.8 eV-2.1 eV) and near infrared (0.8-1.2 eV) ranges. [4] We propose an current-induced precipitation/formation of new nc-Si in a Si-rich a-SiC:H matrix, and establish their relation to the observed new emerging EL band in the visible region. The later one arise from the formation of C-C related bandgap states in the pm-Si1-xCx:H thin film. These strong and multiple bands emissions could provide a basis to realize a Si-based and CMOS compatible laser application over a broad range of wavelength of interest. [1] N.Daldosso and L. Pavesi., Laser & Photonics Reviews 3, 508 (2009). [2] Di Liang and John E. Bowers, Nat Photon 4, 511 (2010) [3]Junzhuan Wang et.al. Apple.Phys.Lett. 97, 221113 (2010) [4]Junzhuan Wang et.al. J.Appl.Phys 111,053108 (2012
9:00 AM - DD5.06
Doping Control of Boron Atoms in Silicon Nanoparticles for Solar Cell Application
Futoshi Senba 1 Keisuke Sato 2 Naoki Fukata 2 Kenji K Hirakuri 1
1Tokyo Denki University Adachi-ku Japan2National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractSilicon (Si) nanoparticles are expected to be use for optical devices since the optical band gap can be varied by tuning the particle size. However, it is necessary to improve the electrical conductivity, especially for the application for solar cells. The aim of this study is boron (B) doping into silicon nanoparticles to improve the conductivity for device applications. We have investigated the dependence of the grain size of Si nanoparticles and the carrier concentration on the target composition and annealing temperature. B-doped silicon nanoparticles were prepared by radio frequency magnetron sputtering technique and subsequently thermal annealing process. Si nanoparticles were prepared as a function of number of B chip on sputtering target and annealing temperature. Characterization of B doping into silicon nanoparticles were studied by Raman scattering and transmission electron microscope (TEM). The results of Raman scattering showed the shift of Si optical phonon peak toward to lower wavenumbers and a broadening with increasing B concentration. The latter is due to the Fano effect. In addition to these, the local vibrational modes of 11B (618cm-1) and 10B (640cm-1) were observed and increased with increasing B concentration. These results show that Si nanoparticles were electrically activated by B doping. From TEM observation, the grain size increased with increasing the number of B-chip. This can be explained that the B atoms react with O atoms, resulting in the increase of the extra Si atoms in the SiOx (x<2) films formed by sputtering, finally increase in the grain size of Si nanoparticles. On the other hand, when annealing temperature was decreased, TEM observation showed the reduction of the grain size. This grain size reduction is due to the retardation of the precipitation of Si atoms. Based on these results, we found that B doping concentration can be controlled by the condition of sputtering target and annealing temperature. These results are very useful for the realization of solar cells using Si nanoparticle.
9:00 AM - DD5.07
Photoluminescence in Non-stoichiometric Silicon Nitride and Silicon Oxy-nitride Films
Guilherme Sombrio 1 2 Paulo L Franzen 1 2 Rogamp;#233;rio Maltez 1 3 Ludmar G Matos 1 3 Henri I Boudinov 1 2
1Universidade Federal do Rio Grande do Sul Porto Alegre Brazil2UFRGS Porto Alegre Brazil3UFRGS Porto Alegre Brazil
Show AbstractNanostructures embedded in dielectric matrices have been an alternative to obtain photoluminescence phenomena in semiconductors of indirect band gap. In this work, we prepared non-stoichiometric silicon nitride films by reactive sputtering. These films were submitted to subsequent thermal treatments in conventional furnace with the purpose to agglomerate the excess of silicon in nanocrystals. A composition analysis was made by Rutherford Backscatering Spectroscopy (RBS). Photoluminescence spectra performed using a 266 nm laser for the excitation show emissions in the regions 380-390 nm, 460-490 nm and 515-525 nm in films without oxygen concentration (less than 3% - resolution limit of the RBS). With introducing an oxygen concentration during the deposition, an UV band emission was observed at 318 nm (3,9 eV). By transmission electron microscopy silicon nanocrystals, α-Si3N4, β-Si3N4 and silicon oxy-nitride phases were identified and related to the respective emission peaks.
9:00 AM - DD5.08
An Effective Top-down Process for Fabrication of Silicon Nano Rods
Mahla Poudineh 1 Zeinab Sanaee 1 Samaneh Soleimani Amiri 1 Azam Gholizadeh 2 Shamsoddin Mohajerzadeh 1
1University of Tehran Tehran Islamic Republic of Iran2Sharif University of Technology Tehran Islamic Republic of Iran
Show AbstractGreat researches have been devoted to fabrication of silicon nanowires arrays up to now. Nanowires are promised to be the building blocks of future devices and so methods for fabricating of them are fundamentally important. The potential for applications of SiNWs has been demonstrated in areas of optoelectronics, sensors, and solar cells. Fabrication of ordered arrays of SiNWs, with precise control of dimension, and density will be of great value. The ability to reach this control over the size and realize ordered array in large scale remain a key challenge in the fabrication of SiNWs. Silicon nanowires can be fabricated using a bottom-up or top-down process. A famous bottom-up process is vapor liquid solid (VLS) growth method. This process uses a gold layer as the catalyst of nanowire growth. Two major drawback of VLS method are uncontrolled growth orientation of nanowires and using gold as a lethal contamination for microelectronic devices. The usual top-down process to fabricate nanowires is reactive ion etching of silicon. This top down process needs a lithography step to create nano-metric features. Electron beam lithography is the most commonly used technique for nanometer pattern generation, but the process is complex and patterned areas are limited, moreover, throughput is low because of a long exposure time, and equipment costs are high. In this work, we report on fabrication of sub-50 nm nanowire array using a deep reactive ion etching process. A low cost, high throughput colloidal lithography is used to form patterns with minimum feature size less than 50 nm. Polystyrene beads with diameter of 300 nm and 460 nm are used to realize these features. The etching process is based on our novel process which is sequential process based on using SF6, O2 and H2 in two sub-sequences of etching and passivation. Usually SF6 is used to etch silicon while O2 and H2 are used to passivate sidewalls. The concurrent use of all three gases in both sub-sequences allows one to achieve high etch rate and high precision to etch sub-50 nm features. The etch rates of 0.5 to 0.7 mu;m/min and the aspect ratios about 30 for nanowires have been achieved to etch 30 nm features. The parameters of the etching step in our new process for etching of sub-50 nm features are: 18 sccm of O2, 46 sccm of SF6 and 36 sccm of H2 with 170 W plasma power and time duration of 7 s. In the passivation sub-cycle oxygen/SF6/hydrogen gases with respective flows of 335/6/36 sccm were used with a plasma power of 250 W and duration of 4 s. It is worth to mention that the time duration of our new process to fabricate nanowires with the size of 30 nm and aspect ratio over 30 is less than 3 minutes, and this show the high etch rate of our process to fabricate such fine features. Reflectance, Photoluminescence, and field emission tests also have been done on processed samples, and their results will be presented.
9:00 AM - DD5.09
Observation of High Harmonic Generation from 6H-SiC Irradiated by MIR-FEL
Kyohei Yoshida 1 Heishun Zen 1 Kensuke Okumura 1 Kyohei Shimahashi 1 Marie Shibata 1 Takuya Komai 1 Hidekazu Imon 1 Torgasin Konstantin 1 Negm Hani 1 Omer Mohamed 1 Yong-Woon Choi 1 Ryota Kinjo 1 Toshiteru Kii 1 Kai Masuda 1 Hideaki Ohgaki 1
1Institute of Advanced Energy Uji Japan
Show AbstractSiC is considered as attractive material for nonlinear optics because it has strong bonding, wide band-gap energy and large optical phonon energies [1]. Therefore, 6H-SiC has been investigated as nonlinear optical material so far [1, 2]. However, the high harmonic generation from 6H-SiC by mid infrared region laser has not been reported. For verifying the possibility of harmonic generation from semi-insulator 6H-SiC irradiated by mid-infrared free electron laser (MIR-FEL) [3], the emission spectrum was measured with UV-NIR spectrometer. When the wavelength of incident MIR-FEL was adjusted to 7.8 um, we clearly observed the emissions at 963, 861 and 775 nm, which correspond to 8th, 9th and 10th harmonics of the FEL, respectively. And, when the wavelength of MIR-FEL was changed to 8.6 um, 9.1 um, 9.8 um and 10.4 um, 9th, 10th or 11th harmonics corresponding to those excitation wavelengths could be observed. In this meeting, we will discuss about the details of the high harmonic generation from 6H-SiC by MIR-FEL. [1] S. Niedermeier, et al., Appl. Phys. Lett. 75, 618 (1999) [2] Hiroaki Sato, et al., J. Opt. Soc. Am. B, Vol.26, No. 10, 1892(2009) [3] H. Ohgaki, et al., Proceedings of FEL2009, Liverpool, UK, August, 572(2009).
9:00 AM - DD5.10
Characterization of Inorganoc Flowable Oxide Film Deposited with SiHx-(NyHz) Precursors for Shallow Trench Isolation
Hoonbae Kim 1 Ji Soo Park 1 Deakyoung Kim 2 Donggeun Jung 1 Heeyeop Chae 2 3
1Basic Science, Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea3Sungkyunkwan University Suwon Republic of Korea
Show AbstractThe demand for high aspect ratio trench gap filling with SiO2 is required as the critical dimension is decreased in semiconductor industry. However, various technical problems should be resolved for high aspect ratio gap fill application with dielectric materials such as leaning, over-hang, void, micro-pore, delaminate, thickness limitation, squeeze-in, squeeze-out and thinning phenomenon. In order to overcome the above issues, many research have been studied various deposition process of doped or undoped SiO2. For example, the STI fill consists of SiO2 deposited using sub-atmospheric (SA), high-density plasma (HDP) and thermal chemical vapor deposition (CVD) based on TEOS/Ozone chemistry or spin-on dielectric. In this work, we investigated the trench gap-filling capability and chemical properties of inorganic flowable oxide films after nitrogen (N2) thermal annealing as a function of oxygen plasma condition. The inorganic flowable oxide films were deposited by chemical vapor deposition followed by plasma oxidation process. The plasma power of oxygen treatment was changed from 200 and 1200 W and the flow rate of oxygen gas was changed from 100 and 1000 sccm. And the treated inorganic flowable oxide films were annealed in a N2 ambient. The chemical composition change of the inorganic flowable oxide films was measured by Fourier transform infrared (FT-IR) absorption spectroscopy, and the gap-filling capability was confirmed by scanning electron microscope (SEM) images. After N2 annealing, the reflective index of inorganic flowable oxide films were decreased, when flow rate of oxygen 100 sccm and plasma power 1200 W. And, for the vibration of the FT-IR absorption spectra over 4000-600cm-1 of inorganic flowable oxide film, the peak area of NH stretching mode was decreased. It is thought that the oxygen plasma treatment with low flow rate and high plasma power could help to deposit the high quality flowable oxide film.
9:00 AM - DD5.11
Oxidation Effects on the Structural, Electronic and Optical Properties of Porous Silicon
Pedro Alfaro 1 Chumin Wang 1
1Universidad Nacional Autonoma de Mexico Mexico D.F. Mexico
Show AbstractNanostructured porous silicon (PSi) possesses a huge surface area about 300 m^{2}/g and wide applications as sensors and optoelectronic devices. In particular, the pore topology and surface modification are crucial for its physical properties. Following the formation of PSi through a hydrofluoric-acid etching of crystalline Si wafers, its surface is mainly covered by hydrogen atoms. The atmospheric exposition of these PSi samples makes a gradual incorporation of oxygen atoms into its surface as well as bulk structure, modifying deeply the structural and optical properties [1]. In this work, we present an ab-initio study of this oxygen incorporation process in PSi by means of the Density Functional Theory. The numerical calculations were carried out by using the CASTEP codes within the Materials Studio framework. The results show a structural expansion when the surface is passivated by hydrogen atoms [2], followed by a contraction when the oxygen atoms are incorporated between two silicon atoms at the pore surface. However, an expansion is observed when the surface is covered by Si-OH bonds. Also, the electronic band structure calculations illustrate a slight diminution of the band gap for the case of oxygen atoms at the pore surface and a broadening when the oxygen atoms get in the bulk structure. Finally, these theoretical results are compared with the X-ray diffraction, infrared and visible light absorption spectra. This work has been partially supported by UNAM-IN107411 and CONACyT-131596. Computations were performed at Bakliz and Kanbalam of DGCTIC, UNAM. [1] R. Cisneros, H. Pfeiffer, and C. Wang, Nanoscale Res. Lett. 5, 686 (2010). [2] E. Vázquez, J. Tagüeña-Martínez, L.E. Sansores, and C. Wang, J. Appl. Phys. 91, 3085 (2002)
9:00 AM - DD5.13
Silicon Based Graded Refractive Index Nanowires for Broadband and Wide Angle Antireflection
H. Lee 2 P. Pignalosa 1 Yasha Yi 1
1CUNY and MIT New York USA2BUST Beijing China
Show AbstractAntireflection with broadband and wide angle properties is important for a wide range of applications on photovoltaic cells and display. Silicon based nanowires (SiNWs) have attracted extensive interests because of its potential applications in future nanoscale renewable energy devices. Many SiNWs have been fabricated by various techniques, such as the Vapor-Liquid-Solid (VLS) method, Template-based methods, lithography and nanoelectrochemistry method. In order to realize the broadband and broad angle antireflection, graded index nanowires with high index Si as core layer, low index SiOx as cladding layer, and randomness are showing promises. Present SiNW works on photovoltaic field are largely focused on how to fabricate aligned and ordered nanowire arrays to increase device efficiency, although the high costs associated with complicated process makes it difficult to apply in large scale. Here, we introduce a structure that can be produced in a large scale and has a core-shell structure with high index Si as core layer and low index SiOx as cladding layer, which can be utilized in Si based optoelectronic devices as the broadband and broad angle antireflection layer. The SiOx shell layer provides a natural antireflection from air to the Si core absorption layer. In this work, we have demonstrated the random core-shell silicon nanowires with both broadband (from 400nm to 900nm) and wide angle (from normal incidence to 60 degree) antireflection characteristics within AM1.5 solar spectrum. The graded index structure from the randomly oriented core-shell (Air/SiOx/Si) nanowires may provide a potential avenue to realize a broadband and wide angle antireflection layer.
9:00 AM - DD5.14
Micro-raman Characterization of Strain and Shape Transition in Ge Selectively-grown on Si with Post-growth Annealing
Sang Hoon Kim 1 In Gyoo Kim 1 Kiseok Jang 1 Gyungock Kim 1
1Electronics and Telecommunications Research Institute Daejeon Republic of Korea
Show AbstractProgress of Silicon photonics technology can provide cost-effective optical communication devices by facilitating the mature silicon CMOS fabrication technology and Ge photodetector has been developed as a fast and high-sensitivity detector that can be integrated with Si waveguides. In most of the reported Ge waveguide photodetectors, Ge was grown selectively on a Si followed by post-growth annealing to reduce the dislocation density. However, the effect of the post-growth annealing in selectively-grown Ge mesas was not well known, because Ge was generally grown thicker than the target value for planarization of Ge with a chemical-mechanical polishing (CMP) process. In this study, we report the reduced pressure chemical vapor deposition (RPCVD) Ge selective epitaxial growth for waveguide photodetectors which do not require CMP process, and the effect of post-growth annealing conditions on the shape transition and tensile strain. 1um-thick Ge samples were selectively grown on (100) silicon rib waveguides opened through SiO2 masks with the three-step growth, consisting of a low-temperature (384°C) seed layer, ramp layer deposited during temperature transition (384°C~650°C), and high- temperature (650°C) cap layer. The samples were annealed in the RPCVD chamber right after the Ge growth by heating up to 750°C or 875°C with different annealing conditions. Besides reduction of the threading dislocation density, the subsequent post-growth annealing resulted in structural deformations in all samples. The selectively as-grown Ge mesa had a (100) surface with {111} and {311} sidewall facets. After annealing in RPCVD chamber, the mesas were deformed into a trapezoidal cross-sectional shape of a narrowed (100) top surface and widened {311} side wall facets, with disapearing {111} sidewall facets. This resulting facet change could be explained by minimization of both the surface energy and the strain energy. To study the relationship of the shape transition to the strain in Ge mesas, Micro-Raman spectroscopy was employed for measurements of the residual strain. Here, we present the results on our investigation of composition, strain and intentionally introduced crystalline reordering in thin Ge selectively-grown on Si using micro-Raman spectroscopy.
9:00 AM - DD5.15
Oscillating Ge Segregation at the Si/SiO2/SiGe Interface Induced by Pulsed Laser Irradiation of SiGe Thin Films Deposited on Si Wafer
Valentin Serban Teodorescu 1 Adrian Valentin Maraloiu 1 Corneliu Ghica 1 Nicu Doinel Scarisoreanu 2 Maria Dinescu 2 Andrei Barborica 3 Ionel Stavarache 1 Ana-Maria Lepadatu 1 Magdalena Lidia Ciurea 1
1National Institute for Materials Physics Bucharest-Magurele Romania2National Institute for Lasers Plasma and Radiation Bucharest-Magurele Romania3Faculty of Physics, Bucharest University Bucharest-Magurele Romania
Show AbstractSi0.45Ge0.55 amorphous thin films with thickness of 170 nm, were annealed by pulse laser in order to investigate the Ge segregation phenomenon. The SiGe films were deposited by magnetron sputtering on Si[100] substrate having a native SiO2 layer of 3.5 nm thickness. The laser irradiation was performed in air perpendicularly to the film surface, with the forth harmonic of the Nd-YAG laser (265 nm) using a homogenized laser beam. The radiation absorption coefficient in Si and Ge (for 265 nm) is of the order of magnitude of 106 cm-1, so that an absorption length of about 20 nm in the film thickness is expected. The laser fluence used for the experiment was 50 mJ/cm2, which is the lowest laser fluence value which produces the surface film melting. The film structure was investigated by TEM and SEM before and after laser irradiation. The SEM inspection of the irradiated area shows the presence of a high density of small nanospheres on the film surface, formed by the solidification of the melted layer. Their average diameter after several laser pulses is about 100 nm. The XTEM images reveal that only about 20% from the film thickness at the surface are melted during 10 laser pulses, forming the population of SiGe nanospheres. The bottom part of the film remains amorphous and the middle part is gradually crystallized by the diffusion of the heat produced by the laser pulse. The preparation of XTEM specimens involves the sample heating for 60 min at about 140 oC. In the case of nonirradiated sample, the STEM/EDX analysis of the Si/SiO2/SiGe interfaces shows the expected distribution of the elements, with the oxygen concentration centered in the SiO2 layer. The laser irradiated sample looks completely different. In this case the Ge has a massive segregation in the SiGe film near the Si/SiO2 interface. Ge accumulates at the former SiGe film limit followed by a series of Ge, Si and O concentration maxima, with a period of about 7 nm. The Ge concentration curve looks like an oscillation in which concentration of Ge is in antiphase with the Si and O concentrations. The oxygen concentration is now extended to about 20 nm in the former SiGe film area. These important structural modifications in the interface region take place in a very short time. So, the laser pulse duration is of the order of 20 ns, creating a melted layer (1100oC) at the film surface, which is about 130 nm far from the Si/SiGe interface. The laser radiation does not penetrate at the interface level, only the heat pulse arrives in this region. After the laser pulse end, the structure reaches the RT in less than one millisecond, so that the next laser pulse repeats all the process. This type of Ge segregation near interfaces is known for the SiGe films, but for a long time annealing. In our case, we must suppose that the laser heat pulse increases the atomic species diffusion with several orders of magnitude.
9:00 AM - DD5.16
Anharmonic Properties of Phonon Transport in Si from First Principles
Marcel Giar 1 Michael Bachmann 1 Michael Czerner 1 Christian Heiliger 1
1Justus Liebig University Giessen Germany
Show AbstractWe investigate phonon transport properties of Si based on a many-body atomistic Green&’s function approach for interacting phonons [1]. Harmonic and anharmonic interatomic force constants of third order are obtained from a supercell small displacement method. This method is based on first principles calculations using the Vienna Ab Initio Simulation Package (VASP) to obtain the forces due to the displaced atoms. We also investigate the phonon transport of Si28/Si29 and Si28/Ge superlattices with respect to thermoelectric applications. We show that an aperiodic arrangement of the superlattice is more efficient in terms of phonon reflection than a periodic arrangement. [1] Mingo, N., Phys. Rev. B 74, 125402 (2006)
9:00 AM - DD5.17
Application of Electrostatic Field Acceleration and Deflection for Laser Ion Source for Implantation in Semiconductor Technology
Marcin Rosinski 1 Piotr Parys 1 Pawel Gasior 1 Lorenzo Giuffrida 2 Lucio Ando 4 Enza Fazio 3 Lorenzo Torrisi 3 4 Jerzy Wolowski 1
1Institute of Plasma Physics and Laser Microfusion Warsaw Poland2CELIA, CNRS-Universitamp;#233; de Bordeaux1-CEA Bordeaux France3Universita di Messina Messina Italy4INFN- Laboratori Nazionali del Sud Catania Italy
Show AbstractLaser ion source is an attractive solution for ion implantation in semiconductor technology due to its flexibility and possibility of implantation of ions from any solid-state material; however; for taking full advantage of this method, the application of standard industrial lasers is still inefficient due to too low ion energies available at the laser intensities which they offer. In order to deal with this problem, it is possible to apply a high energy laser which delivers pulses of an appropriate power density, but it significantly increases costs and energy consumption of the process which makes it unavailable for the most of industrial applications. Another solution is to develop an electrostatic system which accelerates and moreover deflects ion beams to increase their energy and decrease their energy spread. Application of such a system also solves a problem of elimination of neutrals and contaminations which are either blocked by a diaphragm or deflected in such a way that they do not reach the surface of the implanted samples. This contribution presents the development of such an electrostatic system by the means of numerical modeling with the OPERA 3D code and by experiments with a repetitive laser system (Nd:YAG: 10 Hz, pulse duration: 3.5 ns, pulse energy: ~ 0.5 J, power density: 1010 W/cm2) at the IPPLM. Laser induced Ge ion streams were investigated by the means of ion time of flight diagnostics, namely, ion collectors and electrostatic ion energy analyzer. Various types of the electrostatic system are analyzed and compared which allowed for optimization of such a set-up in configuration in which the accelerating/deflecting electrode was made of a grid of a shape of a part of the sphere. To evaluate the quality of implantation the structural and optical properties of the produced samples (ie. Si substrates implanted with Ge ions) were also investigated with the use of XPS, AES and SEM techniques. The influence of a post-preparation thermal annealing (from RT up to 750°C) on the formation of Ge nanocrystals was studied carrying out Raman scattering measurements.
9:00 AM - DD5.18
Surface States Influence in Al Schottky Barrier of Ge Nanowires
Hanay Kamimura 1 Luana Araujo 1 Cleber Alexandre Amorim 1 Edson R. Leite 2 Adenilson J. Chiquito 1
1Universidade Federal de Samp;#227;o Carlos Samp;#227;o Carlos Brazil2Universidade Federal de Samp;#227;o Carlos - UFSCar Samp;#227;o Carlos Brazil
Show AbstractNanoscale research is at the center of the technological advances aimed at miniaturization and development new devices. This potential is directly related to the unique characteristics presented by the nanostructures. The nanostructures based on germanium can be very interesting from a technological point of view: they are semiconducting with a small and indirect gap (0.67 eV) thus enabling the development of nanodevices based on pn junctions. Germanium has high electron mobility in comparison to Silicon and the characteristic Bohr radius is larger (24.3 nm) than that of Si (4.9 nm): then, quantum size effects will be more prominent in Ge nanowires. Nanowires were grown by VLS method, using gold seeds as catalyst. The device was fabricated by evaporation of metallic electrodes directly on the substrates where nanowires were grown. Temperature dependent resistance measurements were made showing a semiconductor behaviour dominated by the variable-range-hopping transport mechanism. Also, current-voltage curves were adjusted for the back-to-back Schottky barrier model; these fittings provided different values for the usual parameters for these materials. Both hopping conductivity and barrier height values can be explained by the presence of a disordered Ge-GeO2 interface, once it generate localized states, which can act as charge traps. These states can affect the carriers&’ transport in nanowires making difficult the control of the Fermi level. In fact, the disorder leads to a localized behavior of the carrier&’s transport. Usually, it is observed a change from a simple excitation semiconducting mechanism to a more complex one such as the variable range hopping (VRH) as in our case. In this work we also made a comparison between experimental data and numerical calculations in order to complement the study of the surface states effects on the characteristics of electrical contacts fabricated to Ge nanowires. Our theoretical formalism provided the opportunity to establish a quantitative understanding of nanowire electrical properties, showing systematically the influence of the surface states, leading to a non negligible band bending near the nanowire&’s surface which in turn, results in an effective Schottky barrier height. Reference [1] O. Hayden, A. B. Greytak, D. C. Bell, Adv. Mater. 17, 701 (2005) [2] A.B. Greytak, L. J. Lauhon, M. S. Gudiksen, C. M. Lieber, Appl. Phys. Lett. 84, 21 (2004) [3] E. Tutuc, J. Appenzeller, M. C. Reuter, S. Guha, Nano Letters 6, 2070 (2006) [4] R. S. Wagner and W. C. Ellis, Appl. Phys. Lett. 4 89 (1964) [5] N. F. Mott, Metal Insulator Transitions, 2. ed. Taylor and Francis, London: 1990 [6] B. Yu et al., J. Cluster Sci. 17, 579 (2006) [7] J. Bardeen Phys. Rev. 71, 717 (1947) [8] G. N. Lu, C. Barret, T. Neffati , Solid-State Electron. 33, 1 (1990)
9:00 AM - DD5.20
Pentagate Approach to Reduce the Line Edge Roughness Effects in Bulk Si Tri-gate Transistors
Mustafa Bilal Akbulut 1 Helena Silva 1 Ali Gokirmak 1
1University of Connecticut Storrs USA
Show AbstractPerformance of tri-gate field effect transistors (FETs), as well as other ultra-narrow transistors, is affected by line edge roughness (LER) stemming from process limitations. In this work, a TCAD simulation study investigating the use of pentagate FETs to reduce the effect of LER is presented. In pentagate FETs, a guardring polysilicon gate structure surrounds the body (fin) of a bulk Si tri-gate FET, creating two ‘side-gates&’ under the tri-gate and the shallow trench isolation. These side-gates are biased to accumulate the fin where it is not controlled by the tri-gate. We have simulated pentagate FETs built on fins with imposed LER. The biased side-gates of pentagate FETs, following the contour of the LER, create an electric field, pushing the charge carriers towards the center of the channel, helping in reduction of the effect of LER. The study consists of process and electrical simulations of pentagate FETs along with a control group of tri-gate FETs. The side-gates were created using a spacer etch technique to optimize the capacitance. The electrical results show improvement in variability among different LER cases, alluding to the effect of the side-gates on the electron transport in the MOSFET channel, and on the reduction of leakage currents.
9:00 AM - DD5.21
Low-temperature Synthesis of Si Nanowire Arrays
Maria Carreon 1 Jeong Hoon Kim 1 Jacek Jasinski 1 Mahendra Sunkara 1
1University of Louisville Louisville USA
Show AbstractSemiconductor nanowires (NWs) such as silicon NWs have been extensively studied due to their size dependent electronic and optical properties and resulting wide range of potential applications [1]. To-date, synthesis methods for silicon NWs include: chemical vapor deposition (CVD), [2] annealing in reactive atmosphere, [3] evaporation of SiO, [4] molecular beam epitaxy, [5] and laser ablation [6]. The synthesis of silicon NWs requires transition metal catalyst. Gold and iron are some of the most commonly used. Due to their high eutectic and melting points [7] typical Si NW synthesis methods require high temperatures (440-1400°C). Moreover, these metals can introduce deep trap states within silicon [8]. In this respect, low-melting-point metals like gallium have been studied as possible candidates to growth Si NWs [9]. However, the use of large sized gallium clusters and low temperatures typically leads to high density nucleation avoiding tip-led growth. Therefore, in order to achieve this kind of growth using gallium it is imperative to create small clusters < 50 nm in size [10]. Here, we demonstrate the synthesis of silicon NWs using silane directly in the gas phase and molten gallium as a catalyst in a homemade PECVD. We observed both bulk and tip-led nucleation. The resulting nanowires were tens to hundreds of nanometers in diameter and tens of microns long. Our plasma enhanced method, led to the formation of silicon nanowires at temperatures as low as 200°C. The as-synthesized silicon nanowires can be used potentially in the assembly of electronic and optoelectronic devices. References 1 Y. Cui and C. M. Lieber, Science 291, 895 (2001). 2 S. Kodambaka, J. Tersoff, M. C. Reuter, F. M. Ross, Phys. Rev. Lett. 2006, 96, 096105. 3 E. S. Greiner, J. A. Gutowski, W. C. Ellis, J. Appl. Phys. 1961, 32, 2489. 4 Z. W. Pan, Z. R. Dai, J. Phys. Chem. B 2001, 105, 2507. 5 P. Werner, N. D. Zakharov, G. Gerth, L. Schubert, U. Gosele, Int. J. Mater. Res. 2006, 97, 1008. 6 G. W. Zhou, Z. Zhang, Z. G. Bai, S. Q. Feng, D. P. Yu, Appl. Phys. Lett. 1998, 73, 677. 7 R. S. Wagner and W. C. Ellis, Appl. Phys. Lett. 4, 89 (1964). 8 V. Schmidt, J. V. Wittemann, S. Senz, U. Gosele. Adv. Mater. 2009, 21, 2681-2702. 9 S. Sharma, M. K. Sunkara, Nanotechnology 2004, 15, 130. 10 H. Li, A. Chin, and M.K. Sunkara, Adv. Mater, 18, 216 (2006).
9:00 AM - DD5.22
Site-dependent Ambipolar Doping in a Silicon Surface
Veronika Brazdova 1 2 Philipp Studer 1 3 Cyrus F. Hirjibehedin 1 2 4 Neil J. Curson 1 3 Steven R. Schofield 1 2 David R. Bowler 1 2
1University College London London United Kingdom2University College London London United Kingdom3University College London London United Kingdom4University College London London United Kingdom
Show AbstractThe functionality of semiconductor devices now relies upon only a few atoms and the study of individual dopants in silicon has therefore been rapidly growing in importance. Donor atoms in silicon have demonstrated great potential for the fabrication of atomic-scale devices and for future concepts such as spintronics and quantum information processing. We combine density functional theory simulations and ion implantation and cross-sectional scanning tunneling microscopy (XSTM) to identify and characterise individual Group V donors in cleaved n-doped Si(111)-(2x1) surface. We show that dopants in a silicon surface induce non-local, site-dependent ambipolar charge states, in stark contrast to their bulk counterparts. These results not only present a novel approach to localising individual charge carriers at the atomic scale but also demonstrate the crucial importance of understanding the properties of solitary donors when designing future devices based on the precise placement of only a few dopant atoms.
9:00 AM - DD5.23
Optical Response from the Vacuum UV to the near IR of Nano-engineered a-Si Single Layers Embedded in a-Al2O3
Johann Toudert 1 Josamp;#233; Antonio Mamp;#233;ndez 2 Juan I. Larruquert 2 Javier Martamp;#237;n Samp;#225;nchez 1 Rosalia Serna 1
1CSIC Madrid Spain2CSIC Madrid Spain
Show AbstractThe optical properties of crystalline Si (c-Si) nanostructures (NSs), such as nanoparticles (NPs), nanowires or nanolayers, have been thoroughly studied from the near UV to near IR range. For instance, c-Si NPs have been shown to present size- and environment- sensitive optical band-gap [1] and photoluminescence [2], which can be tuned in the near UV/visible and visible/near IR ranges, respectively. Recent studies have extended the knowledge of the optical properties of c-Si NPs (embedded in SiO2) to vacuum UV wavelengths [3], giving experimental insights into the band-gap absorption spectrum of confined Si. Amorphous Si (a-Si) NSs have also been shown to present a tunable optical band-gap [4-6] and have a great potential to replace c-Si NSs whenever low-temperature processes are required. In addition, it is expected that a-Si NPs can be, due to their intrinsic lack of ordering, obtained in smaller sizes than c-Si NPs. Thus, due to quantum confinement effects, this would allow higher achievable band-gaps in the case of a-Si NSs, having implications in the design of Si NSs-based light- absorbing or emitting devices. Despite of these interesting features, the optical response of a-Si NSs remains largely unexplored specially in the short-wavelengh vacuum UV region of the spectrum. In this work we therefore provide an experimental study of the optical response of such amorphous structures in correlation with their topology. To achieve this goal, we have prepared by pulsed laser deposition single a-Si nano-engineered layers embedded in a-Al2O3. The topology of the layers has been varied by controlling the Si content. The optical response of these a-Si nano-engineered layers is studied by combining optical vacuum UV spectroscopy (for wavelengths below 200 nm) and conventional techniques in air including spectroscopic ellipsometry and absorption spectroscopy (for wavelengths above 200 nm and up to 1700 nm). The UV measurements, which have been seldom reported, provide valuable information close to the absorption edge of the a-Si nano-engineered layers, whose optical band-gaps lie in the 1.5 to 3 eV range, further confirming confinement effects in the a-Si material. Finally we discuss the potential of non-destructive optical probes as a tool for topological characterization of a-Si NSs. [1] G. Conibeer et al. Thin Sol. Films 516 (2008) 6748 [2] R.J. Zhang et al. Appl. Phys. Lett. 95 (2009) 161109 [3] V. Pankratov et al. Phys. Rev. B 83 (2011) 045308 [4] G. Allan, C. Delerue, and M. Lannoo, Phys. Rev. Lett. 78 (1997) 3161. [5] X. D. Pi et al., Appl. Phys. Lett. 88, (2006) 103111 [6] G. Allan et al. Appl. Phys. Lett. 71 (1997) 1189
9:00 AM - DD5.24
Effect of Hydrogen Pressure on Properties of Ball-milled Graphite
Yinghe Zhang 1 David Book 1
1University of Birmingham Birmingham United Kingdom
Show AbstractIn 1999, Orimo[1] reported that nanostructured graphite produced by milling could absorb up to 7.4 wt% hydrogen. However, high temperatures (up to 600 K) were then required to release this hydrogen, and it was not reversible. In 2005, Ichikawa et al[2] reported that the addition of LiH introduces a degree of reversibility (i.e. allows some hydrogen re-absorption). Ball-milled graphite with Fe (1 at%) (10 bar H2, 80 h) was found to store more hydrogen than pure graphite milled under the same conditions[3]. However, for both these additions, methane was also released during desorption, which will prevent long-term reversibility. In this work, graphite samples were milled in a tungsten carbide milling pot under 1.5, 3, 4.5, 6 and 8 bar hydrogen, and then characterized by TGA-Mass Spectrometry, Raman spectroscopy, XRD and SEM. It was found that the hydrogen content and desorption behaviour were affected by the hydrogen pressure, with the sample milled in 3 bar hydrogen subsequently desorbing maximum amount of pure hydrogen (4.0 wt%) upon heating to 950°C. The graphite milled under 1.5 bar showed significantly more disorder, with a reduced amount of desorbed hydrogen (2.6 wt%) and little detectable methane. Only the La value of the 1.5 bar hydrogen sample decreased to the limit of the La value. The effect of the milling pressure is discussed in terms of the grain size and the content of the released gases.
9:00 AM - DD5.25
Toggle Behavior of Transition between a-Si:H and nc-Si:H Phases by Varying Process Pressure Using HW-CVD
Ateet Dutt 1 S. Godavarthi 2 Y. Matsumoto 1 Gargi Raina 3
1Cinvestav Mexico City Mexico2Cinvestav Mexico City Mexico3VIT University Vellore India
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) embedded in amorphous silicon oxide (a-SiOx) films were prepared by hot wire chemical vapor deposition (HW-CVD), also known as catalytic CVD. Depositions were carried out by mixing various process gases at substrate temperature, (Tsub) of 200°C. Transition from amorphous to nanocrystalline silicon phase was studied using Raman and X-ray diffraction. In earlier studies, crystallization was observed with increase of parameters like filament temperature (Tfil), Tsub and hydrogen flow rate. Even some of earlier groups reported transition from a-Si phase to nc-Si phase with increase in chamber pressure, but pressure was maintained at lower value (m Torr). In this study, effect of relatively high chamber pressure (> 0.1 Torr) is observed. Filament materials tungsten (W) and tantalum (Ta) are employed and phase change is explained using Fourier Transform Infrared (FTIR) spectroscopy. With the increase in pressure, a-Si:H to nc-Si:H transition is observed for both W and Ta , but with further increase, again amorphous phase was detected with pressure > 0.1 torr. Shift in Si-H bond (2000cmminus;1) is observed to 2090cmminus;1 (dihydride state) with pressure change. It is believed that increase in pressure causes increment in density of voids and atomic hydrogen concentration is reduced in that case. It ultimately enhances number of dangling bonds and is related with the a-Si:H formation. More study regarding the bonding state is required to explain the behavior change in transition at higher pressures.
9:00 AM - DD5.26
Melting and Crystallization Dynamics of Laser-induced Crystalline Silicon Colloidal Crystals
Kwan Wee Tan 1 Michael O. Thompson 1 Ulrich Wiesner 1
1Cornell University Ithaca USA
Show AbstractSilicon-based nanostructures grown by colloidal self-assembly have been widely adapted for nanofabrication and many potential applications such as photonic crystals, and energy conversion and storage. Recently, bottom-up self-assembly structure formation coupled with laser thermal processing has been demonstrated as a viable route to fabricate high quality single-crystal nanostructures. Here we investigate the explosive crystallization dynamics during pulsed excimer laser irradiations of amorphous silicon in colloidal crystal templates to generate crystalline silicon nanostructures. A clear understanding and control of transient melt-conversion kinetics of crystalline silicon nanostructures may improve the method&’s compatibility with other semiconducting materials and conventional processing technologies.
9:00 AM - DD5.27
Gas Phase Synthesis of Pure Phase Beta-irondisilicide
Robert Felix Bywalez 1 Ervin Mehmedovic 1 Hans Orthner 1 Hartmut Wiggers 1 2
1University of Duisburg-Essen Duisburg Germany2Center for Nanointegration Duisburg-Essen Duisburg Germany
Show Abstractβ-irondisilicide (β-Fesi2) is a potent material for a large variety of optical an electronic applications. It is an indirect bandgap semiconductor with Eg= 0.78 eV and an energetically close-lying direct band gap (0.83-0.89 eV). Since β-Fesi2 is nontoxic and composed of ubiquitary materials, it is envisioned for photonic crystals due to its matching emission wavelength with SiO2 fibers. In terms of energy harvesting, β Fesi2 can be applied in photovoltaic cells to enhance their efficiency due to its high absorption coefficient combined with a favorable band gap characteristic. Moreover, the high Seebeck coefficient and its oxidation resistivity render this material very promising for thermoelectric devices. Although a large variety of methods exist to produce pure β-Fesi2 as bulk material or thin films, literature on nanoparticle synthesis is scarce. This might be due to the fact that the most prominent precursor materials, silane (SiH4) and ironpentacarbonyl (IPC, Fe(CO)5), do not match in decomposition kinetics which is expected to lead to a mixture of different iron/silicon species. Based on kinetic investigations of the precursor materials we have developed a strategy to produce pure phase β-Fesi2 Nanoparticles in an easy up-scalable hot wall reactor by pyrolysis of SiH4 and Fe(CO)5. Adjusting the Silane to IPC ratio as well as optimization of iIron decomposition proved to be the key in obtaining pristine β-Fesi2 nanoparticles. Phase composition was determined via X ray (XRD) and electron beam diffraction revealing no impurity phases within the detection limits. XRD measurements further provided indications for the formation of stacking faults within the particles by deviation from the bulk diffraction reflexes. Transmission electron microscopy investigations demonstrated the formation of agglomerates consisting of single crystalline β-Fesi2 nanoparticles with a typical diameter of about 10-30 nm. It also indicates an amorphous shell surrounding the particles and consisting mainly of silicon and oxygen. The observed surface oxidation is attributed to the particle handling in air. To gain insights into the electrical nature of the as-synthesized particles, Hall-measurements were conducted to clarify the majority carrier type whereas impedance spectroscopy was applied for basic assumptions regarding conduction mechanism. The mesoscopic material appears to be a p-type conductor and follows a variable range hopping conduction type rather than the Arrhenius-like conduction behavior. The results clearly demonstrate that our new gas-phase approach enables for the formation of a nanostructured, semiconducting material with highly promising properties.
9:00 AM - DD5.28
Pulsed Laser Annealing of Boron Doped SiC Films Deposited at 195deg;C.
Huda Alaa Makki 1 Carlo Carraro 2 Sherif Sedky 3
1The American University in Cairo Cairo Egypt2University of California at Berkeley Berkeley USA3Zewail City of Science and Technology Cairo Egypt
Show AbstractIn this work, we explored the potential of pulsed laser annealing to locally tune the electrical properties of boron doped SiC thin films prepared by plasma enhanced chemical vapor deposition at 195°C. SiC thin films have promising applications in Micro Electro-Mechanical Systems (MEMS) due to their distinctive physical and chemical properties. However, the requirement of high deposition temperatures for high quality SiC films usually restricts their applications. To overcome this restriction, the deposition temperatures of SiC films need to be lowered. In this work, we could achieve low temperature deposition of p-type SiC films by using PECVD method at 195°C. The as deposited films were amorphous, non conductive and have a compressive stress. As post deposition pulsed laser annealing treatment were used successfully to improve the properties of amorphous SiGe films for a wide range of MEMS [1], we investigate in this work the possibility of using this post processing technique to locally improve the electrical properties of the amorphous SiC films without causing any damage to the underlying layers. Laser annealing with 248 nm KrF excimer laser and 0.48×0.48 cm2 spot size was carried out in air; to optimize the pulse laser conditions, a wide range of laser energy densities (120 - 1240 mJ/cm2), laser frequencies (2 - 50 Hz) and number of pulses (1 - 600 pulses) were applied. It was found that the electrical properties of the annealed films depend highly on the pulse energy density; the average resistivity of the films annealed with pulse energy density of 120 mJ/cm2 was 3.19 E +4 Omega;.cm. Gradual increase of the pulse energy density is associated with a decrease of the average resistivity till it reach a minimum value of 64 mOmega;.cm at pulse energy density of 720 mJ/cm2, increasing the energy density above this value made the resistivity increase again. In addition, the results showed a noticeable effect for both laser pulse frequency and the number of pulses on the average resistivity. The average resistivity to the film annealed with pulse energy density of 680 mJ/cm2 and 6 Hz was reduced to 44 mOmega;.cm. A dramatic reduction in the average resistivity to the range of µOmega;.cm was noticed with specific laser conditions: pulse energy density above 1200 mJ/cm2, laser frequency of 50 Hz and number of pulses between 400 to 550 pulses. The average resistivity for the film annealed with 400 pulses at 50 Hz and energy density of 1200 mJ/cm2 was 6.05 µOmega;.cm. The film annealed with 550 pulses at 50 Hz and 800 mJ/cm2 showed negligible resistivity value. Raman spectra were taken for several areas of the laser annealed region; the center part showed essentially crystalline while the edge part shows weak SiC signal in addition to an extremely Fano resonance in Si region indicating a breach in the oxide layer interposed between the SiC and the Si substrate. References: [1] S. Sedky et al., Journal of Microelectromechanical Systems, vol. 16, no. 3, pp. 581 - 588, 2007.
9:00 AM - DD5.29
High Crystallinity GeSn Thin Films on SiO2 for 3D Photonic Integration and Tandem Solar Cells
Haofeng Li 1 Alan Salas 1 Jeremy Brouillet 1 Xiaoxin Wang 1 Jifeng Liu 1
1Dartmouth College Hanover USA
Show AbstractGrowing high crystallinity semiconductor films directly on amorphous layers or substrates without wafer bonding has long been a technical challenge in materials science. Such technology is especially important for semiconductor devices that require cost-effective, high-throughput fabrication, including thin-film transistors for display, high-efficiency thin-film solar cells, as well as 3D electronic-photonic integration on Si microchips for high-performance computing. A low crystallization temperature <500 C is highly desirable for these applications. Ge and GeSn are particular interesting materials for photonic integration on Si due to their compatibility with Si complementary metal oxide semiconductor (CMOS) processing and excellent optoelectronic properties. High crystallinity Ge or GeSn grown on amorphous substrates, such as glass, can also provide a low-cost virtual substrate for high-efficiency tandem solar cells due to their lattice matching with a variety of III-V materials. Here we report high crystallinity GeSn thin films with up to 10% Sn composition grown on amorphous SiO2 layers at <500 C for 3D photonic integration and tandem solar cells. Incorporating Sn into Ge not only reduces crystallization temperature due to the Ge-Sn eutectic system, but also transforms Ge towards a direct gap semiconductor for high-performance active photonic devices. Since Sn is a Group IV element (same group as Ge and Si), no deep-level defect centers or excess carriers are introduced during this process, which overcomes a common issue of conventional metal induced crystallization (MIC) process. Amorphous GeSn thin films with various compositions were evaported on SiO2 layers, followed by crystallization annealing at different temperatures. The crystallization temperature decreases significantly with Sn composition in the amorphous GeSn thin films. A highly (111) textured Ge0.9Sn0.1 thin film on amorphous SiO2 was achieved at 460 C. The intensity ratio of (111) peak to the second strongest (220) peak in X-ray diffraction (XRD) is as high as 270. Electron backscattering diffraction (EBSD) shows that the average crystal grain size is >5 microns. Remarkably, the shift in (111) peak position compared to pure Ge indicates that 10% Sn is incorporated into the Ge lattice, well above the equilibrium solubility limit of ~1%. Correspondingly, the direct band gap optical absorption edge is extended to lambda;=2500 nm (~0.5 eV), right on the verge of indirect-to-direct gap transition. For photonic integration, this transition greatly enhances the performance of active photonic devices. For tandem solar cells, this extension in optical response leads to more energy conversion from the infrared spectrum. Compared to existing MIC techniques, our Sn-assisted crystallization process not only offers higher throughput, but also strongly enhances optoelectronic properties due to GeSn alloying.
9:00 AM - DD5.30
Mid-infrared Silicon Waveguide Resonators with Q~105 by Using Photonic Crystal Cavities
Pao Lin 1 Vivek Singh 1 Yan Cai 1 Neil Sunil Patel 1 Jianwei Mu 1 Lin Zhang 1 Lionel Kimerling 1 Anuradha Murthy Agarwal 1
1MIT Cambridge USA
Show AbstractPhotonic crystal silicon waveguide resonators with quality factor Q~105 are demonstrated at mid-infrared wavelengths between 2 um to 5 um. Silicon has several advantages for mid-infrared applications including its broad mid-infrared transmission spectrum which reaches out to 9 um, a CMOS compatible fabrication process, and ease of electronic-photonic integration. The proposed resonators are composed of photonic crystal cavities with optimized (i) lattice parameter a, (ii) cavity width w and (iii) hole radius r. Using finite difference time domain (FDTD) simulation to adjust these three parameters a, w and r, we are able to select a resonant frequency of interest within the mid-infrared spectral range. Due to the high quality factor Q, these PhC silicon waveguide resonators have much higher sensitivity as chemical sensors, and it has the potential to replace bulky instruments such as an FTIR.
9:00 AM - DD5.31
Theoretical Prediction of Performance Enhancements in Asymmetric Strain Relaxed Ge-PMOS Nanowires
Ravi Vedula 1 Saumitra Mehrotra 1 Gerhard Klimeck 1 Alejandro Strachan 2
1Purdue University West Lafayette USA2Purdue University West Lafayette USA
Show AbstractWe use a combination of molecular dynamics and atomistic tight binding calculations to predict the effect of inhomogeneous atomistic strain gradients on hole transport properties of realistic <100> oriented Si/Ge/Si nanowire heterostructures in the ballistic regime. Molecular dynamics simulations were performed on Si/Ge/Si nanowires of varying wire widths (7nm-40nm) and Ge layer heights (1nm-10nm) to the charcterize the effect of geometry on transverse strain relaxation and generate representative atomic structures of experimentally fabricated strain relaxed nanowires. Using a 20 band sp3d5s*-so semi-empirical atomistic tight-binding basis set, we calculate the electronic structure of these nanowires and thereby characterize the correlation between the strain characterization and transport properties. The design space for enhancing transport properties is studied in terms of geometry, strain and confinement effects. Top of the barrier model injection velocity calculations of holes are used as metric to describe electronic performance of these Ge-PMOS nanowires. Ideal uniaxial and biaxial strain calculations on nanowires of identical geometries are performed for comparison. Our calculations indicate that strain gradients have significant effect on transport properties and asymmetry of the strain profile should be taken into consideration while predicting the electronic properties of nanowires. We find that injection velocity implicitly depends on transverse strain relaxation and increases with decreasing bar width or increasing Ge thickness. Finally we observe that Ge nanowires with roughly square cross sections exhibit injection velocities close to uniaxial strain state.
9:00 AM - DD5.32
Scalable Solar Cell Architectures Comprised of Germanium Nanowires Sensitized by Lead Salt Nanocrystals
Benjamin Richards 1 Kevin Whitham 2 Bernard Gaskey 1 Tobias Hanrath 1
1Cornell University Ithaca USA2Cornell University Ithaca USA
Show AbstractImpressive advances in prototype nanocrystal photovoltaics have substantiated their immense potential as building blocks for next generation solar cells; however, to sustain the trajectory towards cost- and performance-competitive solar cells, outstanding challenges concerning the integration of nanocrystal absorbers into photo-functional device structures must be resolved. Planar device architectures have been beneficial as a test platform to probe fundamental photovoltaic performance. To improve conversion efficiencies, there has been a push towards advanced three-dimensional electrode structures that facilitate charge extraction from mesostructured film sufficiently thick to fully absorb the incident light. Semiconductor ‘forests&’ grown from planar substrates present compelling opportunities as advanced charge collectors for nanocrystal based solar cells. Here we demonstrate the growth of Si and Ge nanowires directly from a metal foil. This structure provides the direct integration of nanowires into a macroscopic current collector. To capitalize on opportunities presented by this architecture, we functionalized the nanowire film with lead salt quantum dots. Importantly, both the sensitizing nanocrystals and the nanowires exhibit a photovoltaic response which ensures efficient photoconversion in thin composite films. We present the structure-property analysis of nanowires functionalized with lead salts grown in-situ (via chemical bath deposition) and with pre-fabricated colloidal nanocrystals infiltrated into the nanowire network.
9:00 AM - DD5.33
A Study of Nitrogen and Phosphorus at the SiC/SiO2 Interface
Yi Xu 1 2 Xingguang Zhu 2 3 Gang Liu 2 4 Hang Dong Lee 2 3 Can Xu 2 3 Samir Shubeita 2 3 Leszek Wielunski 2 3 Yogeshkumar Sharma 5 Ayayi C. Ahyi 5 John R. Williams 5 Sarit Dhar 5 Weijie Lu 6 Sorrie Ceesay 6 Torgny Gustafsson 2 3 Eric L. Garfunkel 1 2 Leonard C. Feldman 2 7
1Rutgers University Piscataway USA2Rutgers University Piscataway USA3Rutgers University Piscataway USA4Rutgers University Piscataway USA5Auburn University Auburn USA6Air Force Research Lab Wright-Patterson Air Force Base USA7Vanderbilt University Nashville USA
Show AbstractSiC is a promising material for applications in high power, high temperature and high field devices. One reason limiting the use of SiC is the electrical quality of the SiC/dielectric interface. Devices with nitrogen or phosphorus at SiC/SiO2 interface show significant improvement in electrical performance. In this work, X-ray photoelectron spectroscopy (XPS), ion scattering and secondary ion mass spectroscopy are used to study the different chemical states and etching behavior of nitrogen and phosphorus at the 4H-SiC/SiO2 and Si/SiO2 interfaces prepared by different methods. Nitrogen and phosphorus, which are in the same column of the periodic table, show some similarities in etching behavior and bonding at the 4H-SiC/SiO2 interface. Quantification of nitrogen and phosphorus are investigated by XPS, ion scattering and secondary ion mass spectroscopy.
9:00 AM - DD5.34
Atom Probe Tomography of Germanium Isotopic Multilayer Structures
Yasuo Shimizu 1 Hisashi Takamizawa 1 Yoko Kawamura 2 Masashi Uematsu 2 Kohei M. Itoh 2 Eugene E. Haller 3 Takeshi Toyama 1 Yasuyoshi Nagai 1
1Tohoku University Ibaraki Japan2Keio University Yokohama Japan3University of California at Berkeley and Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractTechnological interest in germanium (Ge) as a material for electronic devices has increased due to its high carrier mobilities compared to those in silicon (Si). For the purpose of investigating the behaviors of dopant and host-atoms simultaneously during doping (implantation) steps and thermal cycles involved in device fabrication, isotopic multilayers have attracted considerable attention [1,2]. The isotopic multilayers are composed of alternating layers of different isotopes and have been shown useful for the study of self-diffusion by evaluating the change in isotopic concentration profiles in adjacent isotopic layers. Although Secondary Ion Mass Spectrometry (SIMS) was widely used to obtain the isotopic concentration along the depth direction, the artifacts caused by ion bombardment during the SIMS measurement are detrimental especially when atomic-scale migration of host-atoms is evaluated. Laser-assisted Atom Probe Tomography (APT) has been used to obtain three-dimensional elemental maps in materials on the order of atomic resolution [3,4]. In this paper, we report on APT analysis of Ge isotopic multilayers. We prepared a stack of isotopically-enriched 70Ge and natGe multilayers on a natGe(100) buffer layer and a substrate by solid-source molecular beam epitaxy. A commercial local electrode atom probe (LEAP3000X HR, Cameca Instruments Inc.) equipped with a green laser (532 nm) pulse was employed for APT. For the comparison of spatial resolution, SIMS measurements (PHI ADEPT1010) were performed to obtain the depth profiles of 70Ge and 74Ge. The peaks of all five stable Ge isotopes (70Ge, 72Ge, 73Ge, 74Ge, and 76Ge) in the APT mass spectrum were clearly resolved, resulting in successful image reconstruction of three-dimensional mapping. We demonstrated that our Ge isotopic multilayer samples have sharp interfaces between adjacent Ge isotope layers and enable us to evaluate atomic-scale migration of Ge after annealing. The main advantage of using isotopically-enriched materials in APT analysis is that the atomically flat interfaces between the adjacent isotopic layers can work as the guideline for image reconstruction, since the mass difference of stable isotopes is large enough for the mass spectrometer to distinguish them but is small enough to exhibit identical behavior during device processing. This work was partially supported by Grant-in-Aid for Scientific Research of the MEXT (Grants No. 21246142 and 24760246), Japan. References [1] S. Brotzmann et al., Phys. Rev. B 77, 235207 (2008). [2] M. Naganawa et al., Appl. Phys. Lett. 93, 191905 (2008). [3] Y. Shimizu et al., J. Appl. Phys. 106, 076102 (2009). [4] Y. Shimizu et al., J. Appl. Phys. 109, 036102 (2011).
9:00 AM - DD5.35
Electronic Structure of Two Dimensional Silicene on Substrates: Effects of Interaction
Yongmao Cai 1 Chih-Piao Chuu 1 Jyh-Pin Chou 1 Ching-Ming Wei 1 Mei-Yin Chou 1 2
1Academia Sinica Taipei Taiwan2Georgia Institute of Technology Atlanta USA
Show AbstractIt has been shown recently that silicene, a two-dimensional (2D) graphenelike form of silicon, can be synthesized epitaxially on the surface of a silver (111) substrate [1]. The possibility of preparing silicene on metallic diboride thin films grown on silicon wafers has also been reported [2]. This suggests new perspectives for applications for massless fermions in materials that are compatible with Si-based electronics. Silicene has a buckled honeycomb arrangement of Si atoms with an electronic dispersion resembling that of graphene. It is expected that many of the unique electronic properties of graphene can also be realized in this new 2D system. The samples will be most likely synthesized on some forms of substrates, and the possibilities are abundant. A theoretical investigation of these possibilities will be helpful in identifying suitable systems for future applications. We have performed first-principles calculations of silicene on various substrates in order to understand the effect of substrate interaction on the physical properties of these systems. Of particular interest is the induced change in the electronic structure, the modification of the Fermi velocity, the gap opening, the charge doping from the substrate, and the stability of the combined system. The energetics of forming the 2D structure on a substrate is carefully evaluated in comparison with possible three-dimensional cluster structures. The modifications in the electronic properties are also evaluated by many-body perturbation theory in order to provide an accurate description of the quasiparticles. The interaction strength with the substrates and the various possible bonding patterns will be discussed in detail. [1] P. Vogt, P. De Padova, C. Quaresima, J. Avila, E. Frantzeskakis, M. C. Asensio, A. Resta, B. Ealet, and G. Le Lay, Phys. Rev. Lett. 108, 155501 (2012). [2] A. Fleurence, R. Friedlein, T. Ozaki, H. Kawai, Y. Wang, and Y. Yamada-Takamura, Phys. Rev. Lett. 108, 245501 (2012).
9:00 AM - DD5.36
Role of Surface Temperature in Low Energy Ar+ Bombardment Induced Nanostructure Formation on Si Surface
Al-Montaser Bellah Ahmad Al-Ajlony 1 2 Theodore Joseph Novakowski 1 2 Aloke Kanjilal 1 2 Sivanandan Harilal 1 2 Ahmed Hassanein 1 2
1Purdue University West Lafayette USA2Purdue University West Lafayette USA
Show AbstractDuring the last decade, the simple and strait forward process [1] of ion beam sputtering (IBS) induced formation of highly ordered nanostructures has earned a considerable interest in both the material and surface science communities. As a result, a wide surface area of ordered nanostructure had been repeatedly reported on surfaces of metals [2] and semiconductors [3] after relatively short period of ion bombardment at certain conditions [1]. Several studies were devoted to understand the significance of most important parameters such as the ion dose [4], incident angle, and surface contamination [5]. In this investigation we explore the role of surface temperature during bombardment and its effect on the evolution of nanostructures. Another goal is to understand the effect of temperature on the interchange of the nanostructure morphology in the presence as well as the absence of metallic contaminants. A series of Si (001) substrates were bombarded with a constant flux of 1KeV of Ar+ ions at an incident angle of 65 degree from the surface normal. All samples were bombarded with total ion dose of ~1018 ion/cm2. Maximum sample temperatures were allowed to change in the range between 40o and 140o C. Some samples were intentionally exposed to a source of Iron and copper contaminations during Ar Bombardment. The surface chemical composition of the bombarded samples was recorded by a set of high resolution X-ray photoelectron spectroscopy (XPS) scans to account for possible chemical interactions that might occur during bombardment, and then images of the surface morphologies of the bombarded samples were taken using atomic force microscopy (AFM). The role of surface temperature in enhancing surface diffusion and the formation of intermolecular compounds and consequently its impact on the evolution of the nanostructure morphology were discussed in details. [1]. J. A. Sánchez-García, R. Gago, R. Caillard, A. Redondo-Cubero, J. A. Martin-Gago, F. J. Palomares, M. Fernández and L. Vázquez, Journal of Physics: Condensed Matter 21, 224009 (2009). [2]. D. Ghose, Journal of Physics: Condensed Matter 21, 224001 (2009). [3]. B. Ziberi, M. Cornejo, F. Frost and B. Rauschenbach, Journal of Physics: Condensed Matter 21, 224003 (2009). [4]. R. l. Gago, L. Vázquez, R. Cuerno, M. a. Varela, C. Ballesteros and J. M. a. Albella, Applied Physics Letters 78, 3316 (2001). [5]. G. Ozaydin, K. F. Ludwig, H. Zhou and R. L. Headrick, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 26, 551 (2008).
DD1: Nanowires-based Materials and Devices I
Session Chairs
Anna Fontcuberta i Morral
Monday AM, November 26, 2012
Hynes, Level 2, Room 207
9:30 AM - *DD1.01
Advances in Silicon Nanophotonics
Francesco Priolo 1
1MATIS IMM-CNR and University of Catania Catania Italy
Show AbstractSilicon nanophotonics is emerging as a new platform for the integration of photonic and electronic devices. Several examples on our recent efforts on monolithic light sources based on silicon nanostructures will be presented and discussed. In particular it will be shown that silicon-on-insulator (SOI) is emerging as an interesting photonic material. The first electrically pumped silicon-on-insulator nano light source, tunable around 1300-1600nm range and operating at room temperature will be presented. H-related optically active defects are properly introduced into silicon enhancing the electrically driven emission in a photonic crystal nanocavity device via the Purcell effect. A narrow (Δlambda; = 0.5 nm) emission line with the highest spectral power density ever reported from any silicon emitter will indeed be presented. Group-IV semiconductor nanowires (NWs) are also attracting interest among the scientific community as building blocks for a wide range of future nanoscaled devices. We show that metal-assisted chemical etching is a powerful technique to obtain nanometer-size high density and low-cost Si NWs with high and controllable aspect ratio. NWs obtained by this technique have exactly the same structure and doping properties of the substrate and present quantum confinement effects. We will show that luminescence is very efficient (in the order of the percent) and tunable with NWs size according to quantum confinement. Light emitting devices based on Si NWs have also been realised, showing the efficient electroluminescence emission at room temperature under low voltage excitation. Also the possibility to manipulate a single Si NW by optical tweezers and the size-scaling effects in optical trapping of Si NWs will be demonstrated. The relevance and the perspectives of the reported results opening the route towards novel applications of Si nanostructures in photonics will be discussed
10:00 AM - DD1.02
The Kinetics of Ge-Si Heterostructure Nanowire Synthesis with AuGa Catalysts
Andrew David Gamalski 1 Daniel E. Perea 2 4 Jinkyoung Yoo 2 Shadi A. Dayeh 2 Nan Li 2 Caterina Ducati 3 Amit Misra 2 S. Thomas Picraux 2 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2Los Alamos National Laboratory Los Alamos USA3University of Cambridge Cambridge United Kingdom4Pacific North West National Laboratory Richland USA
Show AbstractSeveral key applications of semiconductor nanowires (NW) in photonics and electronics require sharp heterointerfaces between differing semiconductors. A new promising catalyst to fabricate Ge-Si NW heterojunctions by vapor liquid solid (VLS) growth is AuGa. This approach works by reducing the Ge solubility in the liquid catalyst during VLS growth and has demonstrated large increases in the interface sharpness of axial Ge-Si NW heterostructures [1]. However the influence of the Ga on the liquid Au droplet morphology and the resulting growth mechanisms is unknown. We present complementary in-situ environmental transmission electron microscopy (ETEM) video data and ex-situ post growth data of Ge-Si heterostructure NWs grown from AuGa catalysts. We find the Ga composition of the catalyst and the presence of impurities alters the kinetics of Ge-Si heterostructure formation [2]. We observe the Ge-Si interface is non-planar. This is consistent with past ETEM studies showing edge oscillations and dynamic precipitation-dissolution of the semiconductor at the triple phase boundary during wire growth [3]. We explore how catalyst composition and intentionally introduced impurities may enable control over heterostructure morphology. [1] D. E. Perea, N. Li, R. M. Dickerson, A. Misra, and S. T. Picraux, Nano Lett., 11, 3117 (2011) [2] A. D. Gamalski, D. E. Perea, J. Yoo, S. A. Dayeh, N. Li, C. Ducati, A. Misra, S. T. Picraux, S. Hofmann, submitted (2012) [3] A. D. Gamalski, C. Ducati, and S. Hofmann, J. Phys. Chem. C, 115, 4413 (2011)
10:15 AM - DD1.03
Fabrication of Well-ordered Silicon Nanowire Arrays by Using Metal-assisted Chemical Etching: An Experimental Review
Arif Sinan Alagoz 1 Tansel Karabacak 1
1University of Arkansas at Little Rock Little Rock USA
Show AbstractSingle crystalline silicon nanowire is a promising candidate for next generation photovoltaic cells, batteries, electronic and photonics devices. Developing simple, high-throughput, low-cost silicon nanowire fabrication techniques are essential to realize these nanostructured devices. Metal-assisted chemical etching (MaCE), also known electroless etching, is a simple, low-cost and scalable technique allowing fabrication of high aspect ratio single crystalline silicon nanowires at desired cross-section shape while preserving crystal orientation, doping type and level of starting wafer. In this work, we present fabrication steps of well-ordered silicon nanowire arrays by using patterned metal assisted etching and review effects of main etching parameters including silicon wafer doping type, doping level, crystal orientation, etchants&’ concentrations, solution temperature on etching rate and nanowire morphology.
10:30 AM - DD1.04
Rational Defect Engineering in Silicon Nanowires
Naechul Shin 1 Miaofang Chi 2 Jane Y. Howe 2 Michael A. Filler 1
1Georgia Institute of Technology Atlanta USA2Oak Ridge National Laboratory Oak Ridge USA
Show AbstractDefect engineering offers a range of opportunities to control the physical properties of semiconductor nanowires. Despite the common occurrence of planar defects (e.g. twins and stacking faults) and polytypic domains in III-V nanowires synthesized via the vapor-liquid-solid (VLS) technique, these structural features are far less frequently observed in group IV materials. This difference is especially striking since defect planes exhibit similar formation energetics in both materials systems. Here, we demonstrate how user-defined changes in sidewall chemistry near the triple-phase line can rationally introduce both twin boundaries (TBs) and stacking faults (SFs) during the growth of <111> oriented Si nanowires for the first time. We increase the concentration of hydrogen atoms on the sidewall, thus initiating defects, by raising the partial pressure of Si2H6 (5 × 10-5 Torr to 5 × 10-4 Torr) and/or lowering temperature (500 oC to 400 oC) for a short period of time (15 sec - 3 min). SFs can begin at any of the {112} sidewalls and propagate across the nanowire at an angle relative to the growth direction. On the other hand, TBs are oriented perpendicular to the growth direction in a manner analogous to III-V nanowires. Statistical analysis of SF and TB position via electron microscopy confirms that only user-initiated process changes generate either type of defect. Furthermore, real-time in-situ infrared spectroscopy measurements definitively demonstrate that covalent Si-H bonds are the root source of these defects. We propose a simple model where the presence of hydrogen atoms favors the formation of new {111} facets that subsequently induce either SFs or TBs. Our findings suggest new routes to engineer the properties of Si and are an important step toward a fundamental understanding of the chemistry that governs semiconductor nanowire synthesis. (A portion of the TEM work was completed as part of ORNL's Shared Research Equipment User Program, which is sponsored by the Office of Basic Energy Sciences, U.S. Department of Energy.)
10:45 AM - DD1.05
Synthesis of Hierarchically Structured Si Nanowire Networks
Mei Zhang 1 Shengjuan Li 1 2 Teng Liu 1 Richard Liang 1
1Florida State University Tallahassee USA2University of Shanghai for Science and Technology Shanghai China
Show AbstractSilicon nanowires (SiNWs) are promising building blocks for applications in a broad range of fields including energy production and storage, sensing of biological molecules, quantum computation, photonic devices, and many others. In order to produce functional devices, the morphology of the nanostructures must be controlled. When SiNWs are grown using a vapor-liquid-solid (VLS) process employing gold as catalyst, kinking and branching were observed experimentally. Kinked or branched nanowires are traditionally unsuitable morphologies and are undesirable for many applications. However, well-controlled kinked and branched morphologies are possible to improve performance of devices or enable devices with unique capabilities as opposed to straight structures. In this work, we performed experiments to understand how and why kinking and branching occur so as to control complex growth morphologies. Among synthesis parameters, we found that rapid changes of Si atoms supplied to catalysts trigger kinking at fixed process pressure and temperature. With an insufficient supply of Si source, Au atoms migrate to the sidewalls of SiNWs in the form of Au-Si eutectic. The SiNW branches grow on the sidewalls of SiNWs from Au-Si eutectic with the sufficient supply of Si. The length and density of branches are process controllable. Based on those results, we designed synthesis processes and fabricated SiNW hierarchical structures by precisely driving the kinking and branching of SiNWs during growth.
DD2: Photovoltaics and Energy Storage I
Session Chairs
Monday AM, November 26, 2012
Hynes, Level 2, Room 207
11:30 AM - *DD2.01
Optoelectronic Materials and Devices Based on Solution-processed Quantum-confined Nanoparticles
Edward Hartley Sargent 1
1University of Toronto Toronto Canada
Show AbstractWe will review advances in optoelectronic devices based on solution-processed semiconductor quantum dots. In particular we consider advances in the synthesis, materials processing, and electronic materials properties of colloidal quantum dots and thin solid films made from them. We then discuss applications in solar energy conversion and in photodetection.
12:00 PM - DD2.02
Fast, High-efficiency Germanium Quantum Dot Photodetectors
Pei Liu 1 Salvatore Cosentino 3 Son Le 1 Sunghwan Lee 2 David Paine 2 Alexander Zaslavsky 2 Salvo Mirabella 3 Maria Miritello 3 Isodiana Crupi 3 Tony Terrasi 3 Domenico Pacifici 2
1Brown University Providence USA2Brown University Providence USA3Universita di Catania Catania Italy
Show AbstractOptoelectronic devices based on nano-structural silicon and germanium have attracted much attention recently, and have shown potential advantages in a variety of nano-photonics applications. In this work, we present on fast, high efficiency metal-insulator-semiconductor photodetectors based on active layer of germanium (Ge) quantum dots (QDs) embedded in SiO2 matrix. We investigate the internal working mechanism of the device by performing transient photoresponse measurements as a function of incident power, wavelength, applied bias and active layer thicknesses. Ge QDs embedded in a silica matrix were fabricated by magnetron co-sputtering of SiO2 and Ge targets on an n-Si substrate held at 400 °C, producing small a-Ge QDs of ~2-3 nm diameters. A fully transparent indium-zinc-oxide (IZO) film was then deposited on the 230nm-thick Ge QD layer to serve as the top metal contact. Ge QD photodetectors are CMOS-compatible, exhibit high responsivities of up to 4 A/W, up to 700% internal quantum efficiency (IQE) due to high internal photoconductive gain, and response times of ~40 ns for thin active layers. Current-voltage I(V) measurements were performed by illuminating the device as a function of incident wavelength from 400-1100 nm. A strong photocurrent response was observed upon illumination in reverse bias. Two photoconduction (low and high bias) regimes are clearly observed from the I(V) curves, suggesting different photoconduction mechanism at respect regime. By measuring the device spectral reflectance, the internal quantum efficiency (IQE) was determined as a function of bias and wavelength, with IQE above 100% over a wide range of wavelength even at -2 V bias. To further understand the working mechanism of the device, we performed time resolved measurements. The time response of the device was characterized under various illumination and bias conditions. The photocurrent transient behavior was measured at lambda; = 670 nm and under -2 V reverse bias, for several illumination powers in the 20-200 µW range, using a mechanical chopper modulated at 1 kHz. A turn-on overshoot is observed suggesting field redistribution in the active layer, after which the photocurrent reaches a steady-state value. The response time, defined as the time to drop to 1/e = 37% of the steady state photocurrent upon removing illumination, was estimated to be ~0.8 µs for the 230nm-thick layer. In order to improve the response time, several devices with varying thickness of the QD-containing layer in the range W = 50-230 nm were fabricated. At W = 58 nm we observe a response time of ~40 ns, without significant decrease of responsivity. In summary, our results suggest that a-Ge QDs are promising candidates to enhance the performance of CMOS-compatible MIS photodetectors; and by adjusting the active QDs layer thicknesses, both high efficiency and fast response were able to be achieved.
12:15 PM - DD2.03
Modulation of Light Harvesting in Single Ge Quantum Wells
Salvatore Cosentino 1 Salvatore Mirabella 1 Maria Miritello 1 Isodiana Crupi 1 Giuseppe Nicotra 2 Francesca Simone 1 Antonio Terrasi 1
1CNR-IMM MATIS and Dipartimento di Fisica e Astronomia, Universitamp;#224; di Catania Catania Italy2IMM-CNR, VIII Strada 5, 95121 Catania Italy
Show AbstractQuantum confinement effects (QCE) in Ge nanostructures can be exploited in a very efficient way due to the quite large exciton Bohr radius (~24 nm in Ge, compared to ~5 nm in Si) which allows to widely tune the QCE. In addition, Ge recently gained a renewed attention over Si because of the larger carrier mobility and the lower energy gap, allowing the realization of fast opto-electronic devices operable at 1550 nm. In this work, we report on the large band gap tuning and great enhancement in absorption efficiency observed at room temperature in single amorphous Ge quantum wells (QWs) [1]. Structural, optical and electrical characterization are presented for light-harvesting devices based on single thin film of amorphous Ge grown at room temperature by magnetron sputtering deposition. Top and bottom SiO2 films (~10 nm thick each) were used as barrier for the QW structure. We used Transmission electron microscopy (TEM) and Rutherford backscattering spectrometry (RBS) to evaluate the QWs thickness (2-30 nm) and to check the atomic density in our amorphous samples (comparable to bulk Ge density). Light absorption spectroscopy was performed on samples deposited onto quartz substrate, by measuring the transmittance (T) and reflectance (R) spectra in the 200 - 2000 nm wavelength range with a Varian Cary 500 double beam scanning UV/Visible/NIR spectrophotometer. Tauc analysis of the absorption spectra revealed a large, size-dependent blue-shift (from 0.8 to 1.8 eV) of the optical bandgap EG, which is well fitted within the effective mass theory by the standard relation EG=EG(bulk)+A*(L^2)^-1. The confinement parameter A in Ge QWs results to be 4.35 eV×nm2, well larger than that measured in a-Si QWs (0.72 eV×nm2) [2], evidencing the bigger effect of quantum confinement in Ge. Moreover, absorption spectra in very thin Ge QWs revealed a great enhancement of the absorption efficiency up to three times with respect to bulk Ge. This result can be ascribed to an excitonic effect related to the enhanced oscillator strength of light absorption in confined Ge films. In fact, the measured trend of absorption efficiency with the QW thickness follows the theoretically predicted evolution for the oscillator strength in Ge QWs [3]. Finally, to test if photo-excited carriers in a-Ge QWs can be separated and collected through the action of an electric field, we performed transversal current density versus voltage (J-V) measurement, in dark and under white light illumination conditions on AZO/a-Ge QWs/silicon devices. The results clearly show the role of Ge QWs in the photo-carrier generation and extraction and also the great chance due to the enhanced absorption in amorphous Ge quantum well for the realization of energy tunable light harvesters, optical modulator and solar cells. [1] S. Cosentino et al., under review on Journal of Physical Chemistry C [2] E. G. Barbagiovanni et al., J. Appl. Phys., 111, 2012 [3] Y.H. Kuo et al. , Phys. Rev. B, 79, 2009
12:30 PM - DD2.04
Ordered Macroporous Germanium Electrodeposited from Ionic Liquid as Negative Electrodes for Lithium Ion Batteries
Jiupeng Zhao 1 Yao Li 1 Xin Liu 1
1Harbin Institute of Technology Harbin China
Show AbstractGermanium is a promising candidate for electrodes in lithium ion batteries due to its large theoretical capacity. Currently, its use is frustrated by comparatively poor capacity retention due to mechanical stresses caused by the volume changes during charge/discharge cycles. Nanophase forms of Ge, inluding nanoporous materials, nanowires and nanotubes have been proved effective to improve the electrochemical performance. It can be considered that the ordered pores in the structures can act as a buffer layer for the volume changes. Three dimensionally macroporous materials provide a high accessible surface areas, continuous networks, short diffusion lengths and fast transport of reagents inside the porous structure. In this paper, we present a method of preparing 3D ordered macroporous germanium negative electrode materials. The preparation is based on filling the interstices of self-assembled polystyrene colloidal crystal templates by eletrodeposition from ionic liquids, 1-hexyl-3-methylimidazolium tris (pentafluoroethyl) trifluorophosphate ([HMIm]FAP) and 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl) amide ([EMIm]Tf2N) containing GeCl4 as precursor. The ordered macroporous germanium was characterized by SEM, EDX, Raman spectroscopy and charge-discharge measurement. The electrochemical characteristics of anodes were studied in a cell with a lithium counterelectrode. The results suggest Ge, which can develop an ordered macroporous network structure, is a promising anode materials for lithium ion batteries with superior energy capacity, rate performance and cycle stability.
12:45 PM - DD2.05
Low-cost Solar Cell Approaches Using Silicon Nanoparticles
Seongbeom Kim 1 Jaehee Lee 1 Mark T. Swihart 2 Jeong Chul Lee 1
1Korea Institute of Energy Research Ulsan Republic of Korea2University at Buffalo, State University of New York Buffalo USA
Show AbstractWe have investigated silicon nanoparticles (Si-nps) toward solar cell applications. The Si-nps was fabricated by laser pyrolysis of SiH4 gas in a pressure controlled reaction chamber. The production yield achieved up to ~0.5 g/h a with 60W CO2 laser implying that the production rate is able to be scale up easily using a high power laser or multi-reactor concept. To facilitate solution process of Si-nps in devices fabrication, Si-nps were dispersed into alcoholic solvent such as butyl alcohol, and then, the Si-nps dispersion was sonicated several hours to break aggregated Si-nps. ITO/PEDOT:PSS/Si-nps/PCBM/Al structured devices were fabricated and characterized. The performances of devices were analyzed according to size and phase of Si-nps. This approach was able to demonstrate solar cell applications using mass-producible Si-nps.
Symposium Organizers
Philippe Fauchet, University of Rochester
Luca Dal Negro, Boston University
Susumu Fukatsu, University of Tokyo
Tony van Buren, Lawrence Livermore National Laboratory
Caroline Bonafos, "Universite de Toulouse CEMES-CNRS, Groupe Nanomat"
Symposium Support
Lawrence Livermore National Laboratory
DD8: Ge Light Emitters
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 207
2:30 AM - *DD8.01
Materials Developments for Electrically Pumped Ge Lasers
Jurgen Michel 1 Rodolfo E Camacho-Aguilera 1 Yan Cai 1 Lin Zhang 1 Marco Romagnoli 1 Lionel C Kimerling 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractMonolithically integrated lasers on a silicon platform have long been a desirable addition to on-chip photonic systems. However, until recently the only viable solutions were based on bonding III-V based semiconductor lasers on silicon waveguides. Recently, electrically pumped lasing has been demonstrated using tensile strained, n-type Ge-on-Si as the gain medium, providing a monolithic solution. Here we will discuss the materials and epitaxial growth development necessary to reach the high n-type doping level for a net gain of >1000 cm-1. We will also present the most recent results on the laser performance.
3:00 AM - DD8.02
Observation of Oscillatory Magneto-photoluminescence of Direct Transition in Ge at Room Temperature
Yuhsuke Yasutake 1 Shuhei Hayashi 1 Susumu Fukatsu 1
1University of Tokyo at Komaba Tokyo Japan
Show AbstractGe shows the direct band-gap properties since the conduction band extremum of Ge at Γ-point is located only 140 meV above the conduction band minimum at L-point. The utilization of the radiative recombination of carriers through the direct band-edge in Ge is key to realizing monolithic integration of optoelectronic devices on silicon substrates [1]. On the other hand, Ge is a candidate for spintronics since Ge has the following advantages: crystal inversion symmetry that makes the Dyakonov-Perel type spin relaxation insignificant, and fewer nuclear spins, which ensures long spin coherence time. In addition, high carriers mobility and large spin-orbit coupling are suitable for electronic manipulation of spins. Because of the difficulties in utilizing the direct transition, there were fewer reports in the past on the optical spin injection/detection of Ge, as compared with electrical experiment. As such there has been no report of the luminescence properties of the direct transition of Ge under intense magnetic field [2]. Here, we study the optical orientation of the direct transition of bulk Ge by means of circular-polarized magneto-photoluminescence (CPMPL) through the observation of intensity oscillation as a function of energy. A near-infrared, 1064nm, pulsed laser whose energy is close to the energy gap at Γ-point was used to excite the near direct band-edge. The magnetic field dependence of the energy level splitting of the direct transition was clearly observed even at room temperature in CPMPL. The Zeeman splitting amounted to 0.1 meV/T, however, the observed energy level splitting at the direct transition was as large as 100 meV at 10T, which indicates the Landau levels are relevant [3]. Such Landau splitting of the direct gap was clearly observed in CPMPL of Ge at both 16-K, presumably in the form of magneto-excitons and room temperature. In contrast, CPMPL of the indirect transitions of Ge did not show clear evidence for the Landau splitting even at 16 K. The existence of circular-polarized PL due to Landau levels of Ge direct transition at room temperature promises Ge-based spintronic devices. [1] J. Liu, et al., Opt. Lett. 35, 679 (2010). [2] F. Pezzoli, et al., Phys. Rev. Lett. 108, 156603 (2012). [3] S. Zwerdling et al., Phys. Rev. 106, 51 (1957).
3:15 AM - DD8.03
Electroluminescence Transient of Bulk-Ge Light Emitting Device
Yosuke Terada 1 Yuhsuke Yasutake 1 Susumu Fukatsu 1
1The University of Tokyo Tokyo Japan
Show AbstractGermanium (Ge) is known to luminesce via the direct valley at the telecommunication wavelengths at room temperature. Such direct gap luminescence occurs due to carrier transfer from L to Gamma valleys. Heavy doping and/or strain engineering have been demonstrated to achieve this goal while intense photo-pump allows direct, above-gap excitation. For these reasons, the Ge is expected to turn useful when current injection permits electroluminescence (EL) [1]. However, there remains an intense, broad band due to the indirect gap recombination, which dominates the spectra at room temperature. This occurs since the otherwise 140 meV higher direct band edge falls onto the indirect band edge as temperature increases due to the band gap shrinkage. Thus a question is naturally raised as to whether we can increase the modulation bandwidth of EL of Ge when it is limited by its extended spontaneous recombination lifetime, >1 µs. In this work we present a viable method of increasing the otherwise lifetime-limited bandwidth of indirect gap EL of Ge. The samples were cut out of an n-doped Ge(100) wafer with resistivity of 0.005-0.02 Omega;cm. The rear Al electrode was ohmic while the surface contact was of Shottky type. I-V curves characteristic of diodes were routinely obtained. We monitored transient EL response to rectangular voltage pulses (20 kHz, duty 50 %, ~250 mA, 50 MHz bandwidth) by using the time-correlated photon counting. Rise and falls times of the order of 1 µs, clearly not CR limited, were consistently observed. They are orders of magnitude longer than what is expected for direct gap recombination and hence indicates the dominance of indirect-gap transition. Normal wavelength dispersion of the fall times, i.e., the shorter the wavelength, the longer the fall times, further indicates that one rather broad band of indirect-gap recombination of the identical origin is formed. Although direct luminescence is likely to dominate the shorter wavelengths, there was no clear signature in terms of temporal behavior. Importantly, spontaneous pulsing as fast as 70 MHz was clearly observed in the transient EL, which is an optical analog of electric ringing. This occurred only when the sample was forward-biased with a high voltage pulse, 70 V, or when electrical ringing was deliberately triggered by setting up an impedance mismatch between the sample and the bias tee. Interestingly the EL intensity appeared to catch up with the fast voltage transient for durations of 300 ns or longer. Such fast response is a clearly indication of the successful alteration of the otherwise intractable slow EL decay kinetics of indirect transitions. Possible mechanism that enables an increased bandwidth will be discussed. [1] M. de Kersauson, et al. J. Appl. Phys. 108, 023105 (2010)
3:30 AM - DD8.04
An Increased Red Shift in near-infrared Light Emission from Ge Microbeam Strictures on Si Induced by an Externally Applied Uniaxial Stress
Tatsuji Kaiwa 1 Kazumi Wada 1 Yasuhiko Ishikawa 1
1University of Tokyo Tokyo Japan
Show AbstractA near-infrared (NIR) light emission with the peak wavelength beyond 1700 nm is presented for Ge epitaxial layers on Si under an externally applied tensile stress. Ge epitaxial layers on Si have been widely studied for near-infrared (NIR) photodetectors and light emitters in Si photonics. A tensile lattice strain is known to reduce the direct bandgap energy of 0.8 eV for Ge, leading to the device operation with the wavelength longer than 1550 nm. Under a biaxial tensile strain (stress) as large as 1.4% (2.0 GPa) [1] or a uniaxial tensile strain (stress) as large as 3.8% (3.8 GPa) [2], a transition from the indirect semiconductor to the direct one is theoretically expected. Since the direct bandgap energy is reduced to ~0.6 eV, tensile-strained Ge should be useful for mid-infrared (MIR) active photonic devices, which are important for the optical sensing applications. We have proposed the micro-mechanical structures of Ge with an elastic deformation [3] to introduce such a large tensile strain, and reported a red shift in the photoluminescence (PL) peak of a Ge microbeam structure under a mechanical bending [4]. By applying a mechanical tensile stress of 0.5 GPa, the emission peak was shifted to the wavelength as long as 1680 nm [4]. In this work, by applying an increased tensile stress of 0.9 GPa, a further red shift as long as 1720 nm is presented. In the experiment, Ge layer (300nm) was grown at 600°C on a Si-on-insulator (001) wafer (250-nm-thick top Si layer and 3-µm-thick buried SiO2) by ultrahigh-vacuum chemical vapor deposition with GeH4. After the growth, a biaxial tensile strain of ~0.1% should be accumulated in the Ge layer at room temperature due to the thermal expansion mismatch between Ge and Si. Microbeam (cantilever) structures along the [100] direction were fabricated using electron-beam lithography and dry etching, followed by a wet etching of buried SiO2 in HF solution. The width of microbeam is 5 µm, as same as that in the previous study [3], while the length is reduced from 25 um to 15 um [4]. According to the finite element simulation, by pushing the free edge of microbeam (3 um in depth), a uniaxial tensile strain (stress) as large as 0.9% (0.9 GPa) is introduced around the fixed edge, which is larger than 0.5% (0.5 GPa) in the previous study [3]. Taking into account the grown-in biaxial tensile strain of 0.1%, the direct bandgap should be reduced from 0.785 eV (1580 nm) to 0.730 eV (1700 nm). In the micro-PL measurement at the fixed edge of Ge microbeam, a red shift was seen by pushing the free edge. The emission peak was shifted to 1720 nm, which is comparable to that expected from the simulation. Further red shifts, required for the MIR devices, should be realized by optimizing the micro-mechanical structures. [1] Fischetti and Laux, J. Appl. Phys. 80, 2234 (1996). [2] Kaiwa et al., 2012 MRS Spring Meeting, L3.8. [3] Lim et al., Opt. Express 17, 16358 (2009). [4] Suzuki et al., 2012 MRS Spring Meeting, L3.3.
3:45 AM - DD8.05
Fast, Slow and Delayed Photoluminescence in Strained Silicon/Ge-rich Silicon Germanium Quantum Wells
Selina Mala 1 Leonid Tsybeskov 1 Jean-Marc Baribeau 2 Xiaohua Wu 2 David J Lockwood 2
1NJIT Newark USA2National Research Council Ottawa Canada
Show AbstractIn strained silicon/Ge-rich silicon germanium quantum wells fabricated by molecular beam epitaxy and characterized by high-resolution transmission electron microscopy, we find a high intensity photoluminescence (PL) with unusual PL properties. The PL peak position changes from 1550 nm to 1350 nm as excitation increases, and the PL band detected at 1350 nm shows no saturation as function of excitation. Under pulsed excitation, the PL rises practically instantly and decays with a lifetime varying between 40 nanoseconds and 2 microseconds. In contrast, the PL band detected at 1550 nm has a rise time of longer than 3-4 microseconds, and it decays with a characteristic lifetime which changes from 10 microseconds to milliseconds. The proposed model considers processes of carrier excitation, relaxation and diffusion.
DD9: Nanomembranes and Devices
Session Chairs
Tuesday PM, November 27, 2012
Hynes, Level 2, Room 207
4:30 AM - *DD9.01
Development and Integration of Ultrathin Silicon Membranes for Device Applications
Christopher Striemer 1 4 Chengzhu Qi 3 Charles Chan 1 Jon-Paul DesOrmeaux 1 Philippe Fauchet 4 Thomas Gaborski 1 5 James McGrath 2 1
1SiMPore, Inc. West Henrietta USA2University of Rochester Rochester USA3University of Rochester Rochester USA4University of Rochester Rochester USA5Rochester Institute of Technology Rochester USA
Show AbstractSince the first report of the discovery and demonstrated application of porous nanocrystalline Silicon (pnc-Si) membranes for separation of proteins [Striemer et al., Nature 445, 749, 2007], scientists and engineers at SiMPore and the University of Rochester have been studying and optimizing the properties of this amazing material for applications in separations and cell culture. Pnc-Si is a unique nanoporous material formed when a layer of ultrathin (<40nm) sputtered amorphous Si, which is sandwiched between layers of silicon dioxide, is rapidly annealed at temperatures exceeding 800C. Under these conditions, the amorphous silicon undergoes solid phase crystallization, reorganizing into a nanocrystalline film at temperatures well below the melting point of silicon. Surprisingly, this phase change also induces the formation of voids within the very thin silicon layer. After removing the substrate and adjacent oxide layers, a novel porous membrane is produced that is uniquely thin and smooth, formed of randomly oriented silicon nanocrystals, and extremely robust for its nanoscale thickness. Considerable progress has been made in the control of pore size and density, and in the basic understanding of pore formation. These topics will be discussed. Fundamentally, an ultrathin membrane with a well-defined pore size cutoff is an extremely efficient tool for the separation of nanoparticles and biomolecules, since transport rates scale linearly and superlinearly for convective and diffusive flow, respectively. This efficiency has generated considerable interest in separations, from laboratory devices to kidney dialyzers, as well as in cellular co-culture where efficient diffusion of signaling molecules between cell layers is critical. These practical device needs have driven considerable materials development to strengthen the membranes, functionalize their surfaces, and induce controlled degradation when desired. The versatility of silicon and the fabrication infrastructure built upon it has enabled rapid implementation of strategies to meet these requirements, including the integration of hybrid scaffolds, silanization chemistries and stabilization anneals. This development effort, resulting commercial devices, and application results will also be presented. The development of fabrication methodologies for the manufacture of ultrathin membranes has also driven applications of pure amorphous silicon and silicon nitride in electron microscopy for their unique electron optical properties. Sample substrates as thin as 5 nm are routinely made that are nearly invisible to the electron beam in a TEM, allowing consistent atomic resolution to be achieved in these tools. These membranes can also be used to control phase contrast in next generation bio-TEMs. Ongoing work on these electron phase plates and support substrates will also be discussed briefly.
5:00 AM - DD9.02
Observation of Confined Large-wavevector Phonon Modes in Silicon Nanomembranes
Gokul Gopalakrishnan 1 Martin Holt 2 Kyle McElhinny 1 David Czaplewski 2 Josef Spalenka 1 Tobias Schuelli 3 Paul Evans 1
1University of Wisconsin - Madison Madison USA2Argonne National Laboratory Argonne USA3European Synchrotron Radiation Facility Grenoble France
Show AbstractThe emerging ability to engineer thermal and thermoelectric properties using nanostructures arises in large part from boundary scattering effects and the confinement of phonon modes. Although theoretical predictions have illustrated strategies for the modification of the phonon dispersion due to confinement, so far only small wave-vector phonons lying within the central 1% of the Brillouin Zone have been accurately measured in nanoscale samples. As a result, the science and engineering of large-wavevector acoustic phonons, which are particularly important for thermal transport in nanoscale systems, have lacked experimental insight. Synchrotron x-ray thermal diffuse scattering (TDS) collects information from elastic scattering of x-rays by phonons with wavevectors spanning the entire Brillouin zone. Applying this approach to silicon nanomembranes reveals the emergence of confined large-wavevector acoustic phonon modes at thicknesses in the tens of nanometers. TDS measurements were performed on flat, ultra-thin silicon nanomembranes with thicknesses from 28 nm to 315 nm and a lateral extent of 200 mu;m that were fabricated from silicon-on-insulator structures. TDS intensity distributions were generated using 10 keV x-rays focused to a 30 mu;m-diameter spot using a capillary condenser. Membranes with thicknesses in the hundreds of nanometers produce TDS patterns that accurately correspond to the bulk phonon dispersion of silicon. The TDS distribution from thinner membranes exhibits deviations at large wave-vectors that are qualitatively consistent with phonon confinement. We will describe details of the process used to fabricate flat, ultra-thin, freestanding silicon nanomembranes, and explain the results of our measurements probing zone boundary phonons in these structures.
5:15 AM - DD9.03
Ultra-thin Free-standing Single Crystalline Silicon Membranes with Controlled Strain for Thermal Transport Measurements
Andrey Shchepetov 1 Mika Prunnila 1 Jouni Ahopelto 1 Hua Jiang 2 John Cuffe 3 Francesc Alsina 3 Lars Schneider 3 Clivia Sotomayor Torres 3
1VTT Espoo Finland2Aalto University Espoo Finland3Catalan Institute of Nanotechnology (ICN) Barcelona Spain
Show AbstractDuring the last decades low dimensional structures like nanoparticles, nanotubes and nanowires, graphene and thin films have attracted plenty of attention due to the new properties arising from the reduced dimensionality. Many physical properties of low dimensional systems differ drastically from those of bulk materials, for example thermal conductivity theory needs to be refined. Since early 60&’s silicon has been the key material for microelectronics, MEMS, sensors and others. It can be produced with low cost, very high quality and well controlled physical properties, providing a perfect material to test various physical phenomena. Currently thermal transport in silicon at nanoscale is being widely studied because of the practical importance in high-density integrated circuits. To test the predicted drop of thermal conductivity at low scale, samples with well-defined dimensions and known crystalline properties are crucial. Thin free-standing silicon membranes is a good material for such experiments as it allows investigation of thermal properties of extremely thin single crystalline system and the consequences arising from confinement effects. This material has been recently adopted in the studies of phonon confinement. [1,2] A natural way to fabricate thin single crystalline Si membranes is to use silicon-on-insulator (SOI) wafer with a thin SOI film that will be used as a membrane. In order to release a membrane from a SOI wafer one simply needs to remove the backside handle wafer and the buried oxide (BOX) film. A major problem arises from the compressive stress present in the SOI layer [2], leading to strongly corrugated films after the release. We have proposed and successfully proven a novel but simple technique to fabricate deformation-free single crystalline silicon membranes with thickness from 50 nm down to 6 nm and area exceeding 1 mm2. In order to remove the compressive stress in the Si membrane we have added on top of the membrane a frame made from silicon nitride with internal tensile stress. This compensation layer relaxes and creates a pulling force that stretches the membranes allowing it to stay flat. By changing the dimensions of the membrane and of the compensation layer it is possible to adjust the tensile stress value in the realised free-standing membrane. Transmission Electron Microscopy images show that membranes conserve crystalline quality. With Raman spectroscopy measurements we were able to measure the residual stress as a function of membrane thickness and compensation force. Acoustic and thermal measurements have also been performed. [1] J. Groenen, F. Poinsotte, A. Zwick, C.M. Sotomayor-Torres, M. Prunnila, and J. Ahopelto, Phys. Rev. B 77, 45420 (2008). [2] J. Cuffe, E. Chávez, A. Shchepetov et al., Nano Letters, in print (2012).
5:30 AM - DD9.04
Thermal Resistance of Transferred Si-nanomembrane Interfaces
D. P. Schroeder 1 Z. Aksamija 1 M. G. Lagally 1 M. A. Eriksson 1
1University of Wisconsin-Madison Madison USA
Show AbstractIt has been shown that single-crystal silicon nanomembranes are easily transferable and stackable into multilayer structures. These thin, layered semiconductor structures are of interest in transferable and flexible electronics applications. Because of the thinness of Si nanomembranes, the interfaces between layers, either between two nanomembranes or between a nanomembrane and its host substrate, play a prominent role in the device properties. We describe the use of a thermal release tape technique to transfer and stack silicon nanomembranes. We vary both the crystal misorientation of the fabricated interfaces as well as the post-transfer annealing parameters. To understand better the thermal properties of the resulting interfaces, we use the 3-omega method to measure thermal conductance normal to the plane. We present experimental results that can be understood using a phonon transport model that includes diffuse mismatch and van der Waals interface forces. This research was supported by AFOSR/MURI (Grant No. FA9550-08-1-0337).
5:45 AM - DD9.05
Growth Mechanism of Silicon-based Nanocapsules Prepared by Hydrothermal Synthesis
Masato Ara 1 Kohei Yamagami 2 Kensuke Tomita 3 Hirokazu Tada 3
1Osaka University Toyonaka Japan2Osaka University Toyonaka Japan3Osaka University Toyonaka Japan
Show AbstractSilicon-based hollow nanospheres such as silica nanocapsules have received considerable attention because of their potential applications to the immobilization of catalyst materials, thermal insulation, drug delivery, and so on. Nanocapsules have conventionally been prepared by chemical deposition with templates such as emulsion droplets and metal nanoparticles. It is difficult to avoid the damage to capsules during the core removal process in this method. It has recently been demonstrated that hydrothermal synthesis has a potential for preparing a wide variety of materials without any catalysts. Since no catalysts are used in this method, it is possible to investigate intrinsic properties of the products. In the present study, we have prepared silicon-based nanocapsules by the hydrothermal method and investigated their growth mechanism. The SiO powder and ultrapure water were placed in the container made by Hastelloy C22 alloy. The container was then heated above 500 K. The suspension was stirred at a rotation rate of 200 rpm during the synthetic process. Structural and chemical analyses of the products were performed with a transmission electron microscope (TEM) equipped with an energy dispersive X-ray spectroscopy (EDX). Capsules were observed in TEM images of products prepared. The inner diameters of capsules were approximately 300 nm. The thickness of the shell was about 20 nm. We presumed that the nanobubbles generated in water would work as templates for the growth of capsules. Silicon atoms were deposited on the surface of nanobubbles, then frozen during the cooling process. On the other hand, aggregated capsules were formed by the synthesis at 743 K. Nanobubbles were concentrated to form the aggregate, since the water in the container was almost vaporized at the temperature. The EDX spectrum of this aggregate showed that the capsules were composed of O and Si with an atom ratio of 1.6 : 1. We will discuss the effect of reaction conditions such as temperature and pressure onto the structure of nanocapsules.
DD6: Nanowires-based Materials and Devices III
Session Chairs
Tuesday AM, November 27, 2012
Hynes, Level 2, Room 207
9:30 AM - DD6.01
Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Application
Seiichi Miyazaki 1
1Nagoya University Nagoya Japan
Show AbstractWe have fabricated a hybrid nanodots floating gate (FG) in which Si quantum dots (QDs) and silicide nanodots (NDs) are stacked with a very thin SiO2 interlayer in order to satisfy both multiple valued capability and charge storage capacity for a sufficient memory window and to open up novel functionality for optoelectronic application. For the fabrication of the silcide-NDs/Si-QDs hybrid stack, after doubly stacking of Si-QDs with ultrathin SiO2 layer by combination of precise control of LPCVD using SiH4 and remote plasma CVD using SiH4 and excited O2/Ar, full-silicidation of the last formed Si-QDs was made with ultrathin metal layer formation and subsequent remote H2 plasma exposure without external heating. TEM, AFM and XPS measurements confirmed the formation of the hybrid stack structure. Then, after the deposition of a control oxide, and Al-gate MOS capacitors and n+ poly-Si gates MOSFETs were fabricated. In electron charging and discharging characteristics measured with application of pulsed gate biases to MOS capacitors with a hybrid NDs FG, stepwise changes in the rates for electron injection and emission were revealed with increasing pulse width at room temperature. Also, nMOSFETs with a hybrid NDs FG show unique hysteresis with stepwise changes in the drain current - gate voltage characteristics. The observed characteristics can be interpreted in terms that the electron injection and storage into silicide-NDs proceed through the discrete charged states of Si-QDs. For MOS capacitors with a triple-stacked hybrid NDs FG fabricated by adding another Si-QDs, by subgap light irradiation from the back side of the Si substrate, a distinct infrared optical response in C-V characteristics was detected at room temperature. The result is attributable to the shift of charge centroid in the hybrid NDs FG as a result of transfer of photoexcited electrons from silicide NDs to Si-QDs.
9:45 AM - *DD6.02
Tuning Absorption and Scattering of Light Using Si1-xGex Nanowires: An Application to the Photoluminescence Enhancement of Silicon Nanocrystals
Houssem Kallel 1 2 4 Arnaud Arbouet 1 2 Gerard Benassayag 2 Thierry Baron 3 Abdallah Chehaidar 4 Vincent Paillard 1 2
1University of Toulouse Toulouse France2CNRS Toulouse France3CNRS Grenoble France4University of Sfax Sfax Tunisia
Show AbstractSemiconducting nanowires are good candidates for new optoelectronic or photovoltaic devices due to their exceptional ability to guide, scatter or absorb light, from near-ultraviolet to near-infrared. The occurrence of morphology-dependent optical resonances (leaky mode resonances) in nanowires opens a route to overcome the intrinsic limitations of some materials (for instance the limited absorption of silicon in the visible spectrum due its indirect band gap) and optimize their interaction with light. We investigate the optical properties of Si1-xGex alloy nanowires addressing the influence of nanowire diameter, composition, light polarization and angle of incidence on their scattering and absorption efficiencies. Our results clearly show that both the diameter and the Ge composition can be used to adjust the optical response of the nanowire. Resonant enhancement of both absorption and scattering can be obtained in the infrared range at relatively small diameters (20-100 nm) compared to pure Si nanowires. These results are confirmed by complementary light scattering and Raman spectroscopy experiments using confocal dark-field optical microscopy on individual nanowires fabricated by Au-catalyzed Vapor-Liquid-Solid synthesis. Most applications of nanowires were recently focused on photovoltaic devices. It could be interesting to use the local field enhancement provided by nanowires to increase the emission of quantum dots or molecules placed in the vicinity of the nanowire. Si or Si1-xGex nanowires could thus be used as antennas instead of plasmonic nanostructures, despite a much weaker enhancement, but with the advantages of field enhancement in larger volumes and using a fully compatible CMOS technology. We investigate the influence of Si nanowires on the photoluminescence of a single plane of silicon nanocrystals embedded in silica. The presence of a Si nanowire on the silica surface, lying 2-3 nm above the nanocrystals, leads to a strong photoluminescence enhancement, by up to a factor of four, depending on the excitation light wavelength and polarization, and the nanowire diameter. This opens a route for improving light emitting devices using Si-based nanowires.
10:15 AM - DD6.03
Vertically Aligned Epitaxial Silicon Nanowires and Tubes
Hossain Mohammed Fahad 1 Jhonathan Prieto Rojas 1 Aftab Muhammad Hussain 1 Muhammad Mustafa Hussain 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractFor nearly a decade, ultra thin 1D silicon nanowires (SiNWs) have been in the limelight as potential replacements for future transistor device technology on account of their superior electrostatic charge control and chip packing density due to their extremely small dimensions leading to enhanced functionality. However, SiNW based field effect transistors suffer from low output drive performance (lower information processing speed) per device. Therefore, we have introduced silicon nanotube (SiNT) based architecture for field effect transistor (FET) applications which shows extra-ordinary high performance per device and area efficiency [1, 2]. Contrary to common wisdom of a high performing device will encounter higher power loss, SiNTFET offers lower power operation also. From fabrication perspective, most demonstrations of SiNWs have been using the very popular and unconfined VLS (Vapor-Liquid-Solid) process. Using this technique, scattered nanowires are grown using a metal seed catalyst and then dispersed on top of a substrate. This results in randomly orientated nanowires making it very difficult to obtain aligned devices countering the basis of complimentary logic. Other techniques such as the super lattice and stress-limited oxidation based silicon nanowires have been reported. However, all of these approaches suffer from limitations such as scalability, doping, and/or overall difficulties in non-conventional fabrication techniques. We introduce for the first time a process technology platform to fabricate highly aligned vertical silicon nanowires and nanotubes using CVD epitaxy on a <100> orientated substrate. This is unique as most of the silicon nanowires grown by VLS method are <110> or <111> oriented silicon nanowires. The most unique aspect of this technology is that the growth is carried out without the use of a noble metal catalyst in a confined seed window of silicon. In addition to conventional silicon, high mobility materials such as SiGe can also be integrated. Other unique aspects of this technology that can be leveraged are (a) vertical gate-length definition (Lg) which can be controlled by the thickness of the deposited film (metal gate), (b) abrupt in-situ doping profiles and (c) nanowire diameter control by varying the vertical gate dielectric thickness. With this, it is possible to fabricate short ultrathin nanowires with superior device characteristics. In addition to this, vertically oriented nanowires occupy a much lower chip area than lateral alternatives leading to lower power consumption and RC chip delays. We have studied our silicon nanowires and nanotubes using scanning electron microscopy (SEM), transmission electron microscopy (TEM) and atomic force microscopy (AFM) to determine its physical properties which are competitive to bulk silicon channel. [1] H. M. Fahad et al Nature Scientific Reports | 2 : 475 | DOI: 10.1038/srep00475 [2] H. M. Fahad et al Nano Lett. 11, 4393-4399 (2011)
10:30 AM - DD6.04
Electrical Properties of Axially Modulated p-n Si Nanowires
Athanasios Smyrnakis 1 Angelos Zeniou 1 Evangelos Gogolides 1 Pascal Normand 1 Panagiotis Dimitrakis 1
1NCSR ``Demokritos" Aghia Paraskevi Greece
Show AbstractSi nanowires have significant potential for optoelectronic devices. Functional Si nanowire devices have been demonstrated in the last couple of years with attractive properties. Recently, their potential application in solar cells was presented. The use of nanowires instead of bulk material or thin films provides opportunities to minimize losses during light absorption, in electron-hole generation and separation as well as in collection efficiency at a lower cost. In this work we describe a top-down approach for the formation of vertical pure crystalline Si nanowires. Starting from a n-type Si <100> wafer we implant boron to form a p-type surface layer. After the activation of dopants using a rapid thermal annealing process we deposit a metal film of Al. Next, a monolayer of colloidal PS nanoparticles with a diameter of 500nm was dispersed uniformly. Their size was tuned properly following a plasma trimming step. Subsequently, Al etching was followed and using cryogenic plasma etching we achieved a pattern transfer to Si using the nanoparticles as hard mask. The axial p-n nanowire junctions have high aspect ratio and the form highly ordered arrays. After removal of nanoparticles, the remaining Al contacts serves as metal electrode of the p-side, while Al was deposited on the back-side of the wafer forming n-side metal electrode. Electrical characterization of individual as well as bundle of nanowires was performed in terms of I-V and C-G-V at various temperatures and wavelengths of light illumination. The conductivity mechanisms were investigated.
10:45 AM - DD6.05
SiGe/Si Nanowire Axial Heterostructures Grown by LPCVD Using Ga-Au
Andres Rodriguez 1 Tomas Rodramp;#237;guez 1 Carmen Ballesteros 2 Juan Jimenez 3
1Universidad Politamp;#233;cnica de Madrid, ETSIT Madrid Spain2Universidad Carlos III Leganamp;#233;s Spain3Universidad de Valladolid Valladolid Spain
Show AbstractSemiconducting nanowire (NW) heterostructures with well-controlled dimensions, compositions, crystallinities and interfaces are promising for the design of advanced devices in nanoelectronics. SiGe-based NW heterostructures were grown by the Vapour-Liquid-Solid method using a LPCVD reactor, Si2H6 and GeH4 as precursor gases for Si and Ge respectively, H2 as carrier gas and Ga-Au metallizations of different compositions as catalysts. Ga-Au has been considered since it has been shown previously that the interfaces between the NW and the metal droplet are sharper than those resulting when pure Au is used, thus enabling the formation of high quality heterostructures with flat and sharp interfaces. To favour the matching between the different sections of the heterostructure, the process conditions necessary to grow a similar density of SiGe NWs of different compositions with the same average diameter were investigated. Good quality NWs with diameters of 30-40 nm and a Ge atomic fraction varying from 0 (pure Si) to 0.15 were achieved by adjusting the temperature (400-470°C), the GeH4/Si2H6 gas flow ratio (0-1.5) and the total pressure (200-600 mTorr) in each case. These single and homogeneous NWs usually show a region decorated with Au drops at the end of the NW due to unwanted Au diffusion on the NW sidewalls during the growth stop stage of the process. This region, which length is several times the NW diameter, exhibits a rough surface morphology and could cause undesired whisker growth if the process is prolonged. So, different strategies have been tested to eliminate this region or to reduce its length as much as possible prior to the growth of the next section of the heterostructure starting from the droplet located at the tip of the existing NW. A fast evacuation of the precursor gases inside the reactor to stop the growth abruptly has shown to be catastrophic for the integrity of the liquid droplet. Instead of this, the growth has been stopped as fast as possible by setting to zero the flow of the precursor gases, increasing the flow and pressure of H2 inside the reactor up to the limits of the system and lowering the reactor temperature. This approach has shown to be effective, and the Au decorated region of the NWs has been eliminated in most cases. After these preliminary studies, the growth of heterostructures has been achieved either by growing first a SiGe NW section and then a Si NW section or by growing the two sections in the opposite order. TEM combined with EDX has been used for the analysis of the samples. In some cases the second section grows in the same direction than the first one and in other cases a change in growth direction is observed. Transversal composition profiles of the different sections as well as Z-contrast STEM compositional maps unambiguously show the abrupt change in composition across the interface. High resolution TEM images show an overall good crystalline quality of both sections as well as sharp interfaces.
DD7: Photovoltaics and Energy Storage II
Session Chairs
Tuesday AM, November 27, 2012
Hynes, Level 2, Room 207
11:30 AM - *DD7.01
Germanium Nanostructures Coupled to Plasmonic Concentrators for Efficient Silicon-compatible Optoelectronics
Domenico Pacifici 1
1Brown University Providence USA
Show AbstractEfficient optoelectronic active materials, based on confined germanium quantum dots and quantum wires, promise enhanced photoconversion mechanisms useful for chip-compatible photodetectors and solar cells. This talk will focus on two interrelated classes of optoelectronic materials and devices: (1) close-packed arrays of Ge quantum dots for high-efficiency photodetectors and (2) Ge/Si heteronanowires for tandem solar cells. Materials properties of Ge quantum dots (such as concentration, crystallinity and surface passivation) are directly correlated to optoelectronic functionalities and optimized to improve the photodetection speed while retaining high responsivity. The response time and photoconductive gain mechanisms are studied in details by performing time-resolved measurements as well as theoretical modeling of charging and inter-quantum-dot hopping. For the second class of materials, Ge/Si hetero-nanowires are grown using the vapor-liquid-solid (VLS) technique to overcome lattice mismatch limitations. The transport and optical response of individual heteronanowires are correlated with nanowire diameter, length, doping and composition, and used to predict the collective behavior of dense nanowire arrays with improved spectral coverage and reduced reflectivity. In the final part of the talk, the use of quasi-periodic plasmonic concentrators will also be discussed to further enhance the photoconversion efficiency and achieve omnidirectional, polarization-insensitive absorption enhancement in ultra-thin film solar cells. Acknowledgements This work was performed in part at the Brown University Micro-electronics Facility, a member of the Materials Research Facilities Network, which is supported by the National Science Foundation (Grant No. DMR-0520651). Support from NSF Grant No. DMR-1203186 is gratefully acknowledged.
12:00 PM - DD7.02
Design Rules for High-performance Photovoltaic Applications Based on Si Radial p-i-n Junction Nanowire Arrays
Jinkyoung Yoo 1 Binh Minh Nguyen 1 Shadi Dayeh 1 Aditya Mohite 1 Tom Picraux 1 Paul Schuele 2 David Evans 2
1Los Alamos National Laboratory Los Alamos USA2Sharp Laboratories of America Camas USA
Show AbstractSi radial p-n junction nanowire (NW) arrays are considered attractive for high-performance photovoltaic (PV) devices because optical absorption and extraction of photogenerated carriers can be maximized simultaneously. To understand and achieve high PV performance optical absorption and carrier transport in radial p-n junction arrays with real device architectures should be studied thoroughly. Although several specific cases for a given NW size and geometrical arrangement affecting optical absorption and carrier extraction have been reported, there has not been a comprehensive study of factors crucial to PV performance for high-quality Si radial p-n junction NWs with well-defined, single crystal p-n junctions. Here we present quantitative analyses of the relation between PV performance and crucial material parameters, such as NW length, geometrical spacing, shell layer thickness, morphology, and n-i-p or p-i-n doping sequence. Our comprehensive study gives valuable insights on design rules for high-performance PV devices based on Si radial p-n junction NW arrays. Single crystalline Si radial p-i-n and n-i-p junctions consisted of core Si NWs and epitaxially grown Si shells. Dimensions and electrical doping profiles of the NW core and shell were precisely controlled by lithographic patterning and Si deep reactive ion etching followed by low-pressure chemical vapor deposition (CVD) growth. Vertical NW arrays with pitches in the range of 1 to 3 micrometers were studied and the diameters and lengths were varied from 200 to 700 nm and 5 to 15 micrometers, respectively. Atomically smooth Si NW surfaces for radial homoepitaxy were prepared by multi-step thermal oxidation and wet chemical oxide stripping. Low-pressure CVD was employed to grow single crystalline radial Si junctions. The single crystalline doped and undoped Si shells were grown in the range of 700 to 800oC to avoid autodoping problems at higher growth temperatures. Using these processes vertical single crystalline Si radial p-i-n and n-i-p junction NW arrays were prepared and their optical, electrical and PV response investigated by current-voltage characterization, quantum efficiency measurements, photocurrent microscopy, and photovoltaic response measurements. Optimizing NW length and spacing are shown to significantly enhance optical absorption at longer wavelengths and internal quantum efficiencies of more than 80% are demonstrated. Comparison of the experimental trends with our optical scattering simulations and related theoretical modeling provides additional insight into the coupling of the materials parameters. Through our series of characterizations we elucidate the optimal window of material parameters and decoupling effects of enhanced optical absorption and carrier extraction along the radial direction for high PV performance. Our study gives a better assessment of the potential of Si radial p-n junction NWs for PV applications.
12:15 PM - DD7.03
Bandgap Optimized nc-Si for an all Silicon Multiple Junction Photovoltaic Cell
C. E Kendrick 1 J. D. Fields 1 G. Klafehn 1 M. T. Lusk 1 P. Stradins 2 R. T. Collins 1
1Colorado School of Mines Golden USA2National Renewable Energy Laboratory Golden USA
Show AbstractLow cost, high efficiency and earth abundant materials are the driving force for present photovoltaic cell (PV) research. A potential material system that can meet all of these requirements is nanocrystalline silicon (nc-Si). nc-Si is the mid ground for monocrystalline silicon (MC-Si) and thin film amorphous silicon. MC-Si represents a very mature technology, however it is limited by its indirect bandgap and wafer cost. Amorphous silicon (a-Si) can be produced relatively inexpensively, however degradation due to the Staebler-Wronski effect and low mobility limits PV efficiency. In present nc-Si grown by PECVD, crystallite sizes are generally too large to exhibit quantum confinement. If size can be controlled, a tunable band gap becomes possible; thereby allowing a silicon-based thin film PV material to be fabricated with an optimized bandgap. Here we describe research directed at realizing the potential benefits of nc-Si PV by decoupling the deposition of the a-Si and silicon nanoparticles (SiNPs) to allow control over the SiNPs size, as well as being able to deposit smooth highly compact thin film layers of SiNPs between a-Si to produce an optimized nc-Si film. For the growth of the SiNPs a capacitively coupled RF plasma decomposes a gas mixture of SiH4:Ar (1.35 sccm:275 sccm) as it passes though a 6.5 inner diameter quartz tube. This results in highly crystalline SiNPs with diameters ranging from 5 - 7 nm and a photoluminescence (PL) peak position of 1.6 eV. To control the size of the SiNPs, in-situ etching is utilized, where 1 - 10 sccms of SF6 replaces the same amount of Ar in the main gas flow. With the introduction of the SF6 the PL peak position can be blue shifted up to 2.15 eV. There is also a notable increase in the PL intensity with the introduction of SF6; suggesting that the NC-Si is less defective or SF6 passivates defects. The ability to control the PL peak position was still achievable when a nozzle with a 1 mm orifice was placed on the end of the quartz tube to produce a compacted SiNP film. An alternative approach to controlling the size of the SiNPs was to pulse the RF supply, therefore limiting the nucleation and growth time, and allowing a blue shift in the PL position to 1.9 eV for an on pulse time of 2 ms and 20% duty cycle. In addition to the size control and compaction, we have used a sequential deposition approach to embed the SiNPs in a-Si:H, the sequential process allows for high quality SiNPs to be protected by the a-Si:H without the possibility of being coated in flight with low quality a-Si:H which could occur in a co-deposition process. Using our baseline SiNPs we are able to observe one distinct PL peak at 1.8 eV at room temperature and at 25K both a PL peak at 1.8 eV and 1.4 eV which we can identify as arising from the SiNPs and a-Si:H regions. We acknowledge support from the DOE SunShot program and NSF Renewable Energy Materials Research Science and Engineering Center (Grant No. DMR-0820518).
12:30 PM - DD7.04
Bulk Synthesis of Crystalline and Crystalline-core/Amorphous-shell Silicon Nanowires and Their Application for Energy Storage
Haitian Chen 1 Jing Xu 1 Po-chiang Chen 1 Xin Fang 1 Yue Fu 1 Chongwu Zhou 1
1University of Southern California Los Angeles USA
Show AbstractSilicon nanowires (NWs) have stimulated significant interest and found numerous applications; however, many applications will require bulk quantity of nanowires synthesized in a reliable way. In this paper, we report the bulk synthesis of silicon nanowires on millimeter scale Al2O3 spheres with a thermal chemical vapor deposition system (CVD) via the vapor-liquid-solid (VLS) growth mechanism. The spherical substrates enable the realization of Si nanowire synthesis on three dimensional surfaces in comparison with the synthesis on a planar, two dimensional wafer substrate. By modifying temperature in the recipe of synthesis, both single crystalline and crystalline-core/amorphous-shell Si nanowires were obtained with this nanowire-on-spherical-support method. Conspicuous distinction in crystallinity of the nanowires was revealed by transmission electron microscopy characterization. The crystalline-core/amorphous-shell Si nanowires were utilized to form the anode of Li-ion battery half-cells with the traditional slurry method. Galvanostatic measurement demonstrated the maximum power capacity achievable by the electrodes was 3500 mAh/g and capacity sustained at 1100 mAh/g after 60 cycles of charging and discharging.
12:45 PM - DD7.05
Light Absorption by Ge Nanoclusters Embedded in SiO2: Effects of Cluster Size and Density
Salvatore Cosentino 1 3 Pei Liu 3 Salvatore Mirabella 1 Isodiana Crupi 1 Son T. Le 3 Maria Miritello 1 Sunghwan Lee 3 Giuseppe Nicotra 2 Corrado Spinella 2 David Paine 3 Antonio Terrasi 1 Alexander Zaslavsky 3 Domenico Pacifici 3
1CNR-IMM MATIS and Dipartimento di Fisica e Astronomia, Universitamp;#224; di Catania Catania Italy2IMM-CNR, VIII Strada 5, 95121 Catania Italy3School of Engineering, Brown University Providence USA
Show AbstractAdvanced materials such as Si and Ge quantum dots (QDs) have recently received renowned interest for their potential to serve as efficient light harvesting materials in novel photovoltaics (PV) cells. Ge QDs can be even more interesting than Si QDs because of their lower synthesis temperature, larger optical absorption and larger Bohr exciton radius. An open question is whether the size of QDs is the only parameter determining the light absorption properties due to quantum confinement effects (QCE). In this work we report a detailed study on the role of structural properties (such as: QD size and structural phase, abundance of surface defects at the QD/matrix interface, QD-QD distance, vertical order of QD distribution) on the underlying mechanism involved in the light absorption in Ge QDs. Ge QDs embedded in SiO2 matrix have been synthesized through magnetron sputtering deposition of SiGeO thin films (~230 nm) on quartz or on Si substrates and thermal annealing up to 800°C. The thermal evolution of the QD size (from 2 to 10 nm) has been followed by transmission electron microscopy (TEM) and X-ray diffraction, evidencing an Ostwald ripening mechanism with a concomitant amorphous-crystalline transition. The optical absorption of Ge QDs has been extracted by measuring the transmittance (T) and reflectance (R) spectra in the 200 - 2000 nm wavelength range. Tauc&’s model was used to evaluate the optical bandgap of Ge QDs which result to decrease with the total amount of Ge in the SiGeO films. Surprisingly, if the Ge amount is fixed, the optical bandgap appears to be independent on the QDs size and pinned at about 1.6 eV. Moreover, the absorption efficiency has a much larger extent in smaller Ge QDs, revealing a crucial role in the absorption mechanism played by the electronic states at the surface of Ge QDs [1]. To give insight to the role of surface states in the absorption mechanism, multilayered samples were employed with thin (4 nm) SiO2 “film” containing Ge QDs followed by thin (3 nm) or thick (20 nm) SiO2 “barriers”. In these samples, the interaction between Ge QDs can be studied as adjacent ordered Ge QDs layers separated by SiO2 barrier. Ge QDs in these systems show an optical bandgap roughly unchanged with respect to the thickness of the barrier layer, but nearby Ge QDs films are doubly effective in photon absorption than the isolated ones. A strong overlapping between electron wavefunctions of Ge QDs in adjacent films can explain this result, allowing a further increase in the light absorption efficiency. Finally, we will show the active role of Ge QDs embedded in SiO2 in the photo-carrier generation and conduction mechanism of MOS photodetectors. Our photodetectors exhibit a large photoresponse in the 400 - 1200 nm range and a large photoconductive gain (up to 700%, with responsivity of ~ 4 A/W) mediated by hole trapping within the Ge QDs layer [2]. [1] S. Cosentino et al., NRL 6, 135 (2011) [2] S. Cosentino et al., APL 98, 211107 (2011)
Symposium Organizers
Philippe Fauchet, University of Rochester
Luca Dal Negro, Boston University
Susumu Fukatsu, University of Tokyo
Tony van Buren, Lawrence Livermore National Laboratory
Caroline Bonafos, "Universite de Toulouse CEMES-CNRS, Groupe Nanomat"
Symposium Support
Lawrence Livermore National Laboratory
DD12: Si/Ge Quantum Systems and Light Emission
Session Chairs
Wednesday PM, November 28, 2012
Hynes, Level 2, Room 207
2:30 AM - *DD12.01
Fundamental Properties of Size Controlled Si Nanocrystals
Margit Zacharias 1 Andreas Hartel 1 Sebastian Gutsch 1 Daniel Hiller 1
1Freiburg University Freiburg Germany
Show AbstractFundamental properties of Si nanocrystals are often overlaid by various phenomena; some are based on the broad distribution of size. But also excitation power and interface defects might play an important role and significantly influence the observed results. In the first part the achievement of nearly defect free nanocrystals in superlattice arrangement will be demonstrated using a combination of N2 and H2 annealing. The method enables the nearly complete removal of Pb defects at the interface of the Si nanocrystals enhancing the PL intensity significant without changing the Gaussian shape of the PL spectrum or its peak position [1]. It also enhances the quantum efficiency and reduces the sub-gap absorption [2]. Optimized samples having a tight size control based on our SL control and working in the quantum confinement regime will be used to demonstrate the temperature dependence of the quantum confined band gap down to 4 K without measurement artifact for the first time [3]. The experimental results for different sizes will be compared with theory prediction. We will demonstrate that the temperature dependent band gap follows a Vina-Cardona fit down to 0K for various sizes as known from bulk Si. The size dependence of the gap at 0K will be shown and discussed as a function of size. . [1] D. Hiller et al. Phys. Rev. B 82, 195401 (2010). [2] B.G. Lee et al. Adv. Func. Mat. (2012), DOI: 10.1002/adfm.201200572. [3] A. Hartel et al. Phys. Rev. B 85, 165306 (2012).
3:00 AM - *DD12.02
Single Dot Spectroscopy of Doped and Undoped Si Nanocrystals
I. Sychugov 1 B. Bruhn 1 J. Valenta 2 K. Mitsuishi 3 Jan Linnros 1
1KTH Royal Institute of Technology Stockholm Sweden2Charles University Prague Czech Republic3National Institute for Materials Science Tsukuba Japan
Show AbstractSingle undoped silicon nanocrystals have been prepared by electron-beam lithography, plasma etching and oxidation while doped nanocrystals were prepared from highly-doped silicon-on-insulator wafers. The doped films were thinned by plasma etching and finally oxidized to produce randomly distributed isolated nanocrystals. Low temperature photoluminescence characterization reveals a broad scenario of spectra from individual dots where peak widths and sideband peaks, associated with phonon involvement, vary largely. By analyzing a relatively large number of individual dots, each at different main peak position, and statistically plotting their peak position as well as sideband energy offsets, we may deduce main characteristics of their spectral features. For undoped nanocrystals we have observed TO-phonon line emission as well as lower energy phonon lines and some (for Si nanocrystals) very narrow widths down to ~0.4 meV. For doped nanocrystals, the effect of B, P, As, and Sb impurities on ensemble as well as individual emission spectra are determined by comparison with the lineshapes of undoped nanocrystals. From the statistical analysis of the luminescence spectra the donor ionization energies for nanocrystals emitting in 1.5-2.0 eV range are estimated to be 140-200 meV, while the exciton-impurity binding energy for As- and Sb-doped nanocrystals is found to be about 40-45 meV. Finally, we have observed spectral diffusion of a few individual nanocrystals as initially revealed in studies of CdSe nanocrystals.
3:30 AM - DD12.03
Alternative Method for Deep Reactive Ion Etching of Silicon Using Metal Masks
Tianle Wang 1 P. K. Petrov 1 N. McN. Alford 1
1Imperial College London London United Kingdom
Show AbstractDeep Reactive Ion Etching (DRIE) of silicon using alternating etching and passivation steps is well established as a commercial technique for fabricating micro and nanostructures. However, the process has a high cost attached to it due to the necessity of specialised equipment, dangerous gases, and high power. Its main alternative is Reactive Ion Etching (RIE) process, which uses less hazardous gasses (e.g mixture of CF4, Ar and O2) and relies on reactive halogen free radicals and ionic bombardment. It is economically favourite option but the silicon etch rate and mask selectivity is relatively low. It was previously reported that introduction of metal masking material during the RIE improves the silicon etch rate. This effect was further explored developing a process that uses metal multilayer masking materials in combination with optimized etching conditions to achieve a viable alternative to the “Bosch Process”. In this work, an optimized etching mixture of CF4+Ar+O2 gases was used to etch 0.5mm thick P type doped silicon in a Sentech Etchlab 200 RIE system. Several metals including Ag, Al, Au, Cr, Nb, Ti, as well as Ti+Au and Al+Ag multilayers were investigated as possible masking materials. Evaluated were the silicon etch rate and etching process figure of merit (EPFM): etched silicon depth in microns per mask material thickness in nanometres. The experimental results showed that Au masked silicon exhibits relatively high etch rate of 3µm/min; however a 100nm Au mask is only sufficient to enable etching of a 20µm trench i.e. EPFM = 0.2 um/nm. These values are superior when compared to ones evaluated for an AZ5214E photoresist. Replacing the single layer mask with a 100nm Ti+ 100nm Au multilayer mask further increased the silicon etch rates to 5µm/min and improved the EPFM to 0.8um/nm. Further increase of the number of layers/interfaces within the multilayer mask, enhanced proportionally the silicon etch rate and etching process figure of merit. The etch profile of the fabricated silicon microstructures were characterized by contact Veeco profiler (Dektak 150) and the aspect ratio was evaluated using scanning electron microscopy. These will be also presented.
3:45 AM - DD12.04
On the Origin of Photoluminescence in Silicon Nanocrystals: Pressure-dependent Structural and Optical Studies
Daniel C. Hannah 1 Jihua Yang 3 Paul Podsiadlo 2 Maria K.Y. Chang 2 Arnaud Demortiere 2 David J. Gosztola 2 Vitali B. Prakapenka 4 George C. Schatz 1 Uwe R. Kortshagen 3 Richard D Schaller 1 2
1Northwestern University Evanston USA2Argonne National Laboratory Lemont USA3University of Minnesota Minneapolis USA4University of Chicago Argonne USA
Show AbstractWhile the high photoluminescence (PL) efficiencies observed from nano-sized silicon invite applications in non-toxic bio-labeling and energy-efficient light-emitting diodes, the mechanism and origin of such bright PL has remained a subject of discussion for more than two decades. Here we report pressure-dependences of x-ray diffraction and photoluminescence from alkane-terminated colloidal particles. We determine the diamond-phase bulk modulus, observe multiple phase transitions, and importantly find a systematic photoluminescence red-shift that matches the lowest energy interband transition of bulk crystalline silicon. These results, reinforced by molecular dynamics and density functional theory calculations, suggest that the efficient photoluminescence, frequently attributed to defects, arises instead from core-states that remain highly indirect despite substantial quantum confinement.
DD13: Si/Ge Quantum Structures and Devices II
Session Chairs
Wednesday PM, November 28, 2012
Hynes, Level 2, Room 207
4:30 AM - *DD13.01
Group IV Semiconductor Quantum-dot Non-volatile Memories
Panagiotis Dimitrakis 1 Pascal Normand 1 Vasilios Ioannou-Sougleridis 1 Gerard BenAssayag 2 Caroline Bonafos 2
1NCSR ``Demokritos" Aghia Paraskevi Greece2CNRS Toulouse France
Show AbstractThe concept of quantum dot (QD) or nanocrystal (NC) nonvolatile memories (NVM) has been intensively explored in the last decade for CMOS and flexible electronic devices. There, the QDs, which are typically organized in a 2-D array in between dielectrics, act as discrete charge storage nodes and due to quantum effects the trapped charge is retained for a longer time than in conventional floating-gate Flash memories. Such QD-NVMs have the potential for faster operation at low voltage and can sustain a significantly higher number of programming/erasing cycles. In this talk we provide a comprehensive review of group-IV semiconductor QDs synthesis, characterization practices and how they can be manipulated to meet the needs of large scale CMOS integration. Emphasis will be given to the ion-beam-synthesis technique (IBS), and in particular to the use of ion beams in the ultra-low energy (ULE) regime <2keV, which allow for the synthesis of QDs into very thin dielectrics (<10nm). ULE-IBS allowed us to realize QDs of different semiconducting materials (Si, Ge) embedded in various insulators (SiO2, Si3N4, Al2O3) for memory applications. We demonstrated QD-NVM devices with excellent uniformity across 200mm wafer after optimization of the post-implantation annealing conditions.
5:00 AM - DD13.02
Auger Recombination Dynamics in Type-II Ge/Si Quantum Dots and Its Application for Photovoltaic Devices
Takeshi Tayagaki 1 2 Kei Ueda 1 Susumu Fukatsu 3 Noritaka Usami 4 Yoshihiko Kanemitsu 1
1Kyoto University Uji Japan2JST Kawaguchi Japan3The University of Tokyo Tokyo Japan4Tohoku University Sendai Japan
Show AbstractQuantum dots (QDs) have attracted attention because of their interesting physical properties and potential applications in optoelectronic devices such as light emitters and solar cells. Recently, solar cells using QDs, which also enable the optical absorption of photons with energy below the band gap of the host, have been proposed and extensively studied. However, so far, all reported experimental efficiencies of QD solar cells have been less than those of the best single-junction devices. One of the most critical problems is a lack of understanding of the carrier dynamics, especially, the carrier extraction mechanism from QDs. Ge/Si heterostructures are a material system compatible with the standard Si processing technology and provide a unique opportunity to investigate the carrier dynamics in the artificially controlled nanostructures [1]. In this paper, we report studies on the recombination dynamics of high-density photocarriers in Ge QDs in silicon crystals (Ge/Si QDs) performed using time-resolved near-infrared photoluminescence (PL) measurements [2]. In addition, we present results of the investigation of carrier extraction efficiencies based on photocurrent measurements in Ge/Si QD layers inserted in a Si p-i-n diode. Strained Ge/Si QD samples were grown by gas-source molecular beam epitaxy [3]. A Ti:sapphire laser was used as the excitation light source. Time-integrated PL spectra were measured using an InGaAs array detector, and the PL decay was measured using a photomultiplier-equipped gated-photon-counting system. Under low-intensity photoexcitation, a PL band was observed at around 0.8 eV and was assigned to the emission from Ge/Si QDs. In the time-resolved PL decay measurements, a rapid PL decay with a decay time of less than 10 ns appeared under high-density excitation, indicating the occurrence of Auger recombination owing to three-carrier collisions. In addition, the fast decay time of the PL intensity depended strongly on the thermal annealing temperature in thermally annealed Ge/Si QDs, indicating that the Auger recombination rates were affected by Ge-Si intermixing at the Ge/Si interface [2]. Moreover, under strong light irradiation, the photocurrent increased superlinearly with irradiation light power, which was quite different from the case of a Si p-i-n diode without Ge QDs. This behavior indicates that the carrier extraction efficiency in the Ge/Si QD solar cells increased under strong light irradiation. Our findings indicate that the Auger recombination in QDs contribute to the efficient carrier extraction in QD solar cells. [1] T. Tayagaki, S. Fukatsu, and Y. Kanemitsu, Phys. Rev. B 79, 041301(R) (2009). [2] T. Tayagaki, K. Ueda, S. Fukatsu, and Y. Kanemitsu, J. Phys. Soc. Jpn. 81, 064712 (2012). [3] H. Sunamura, N. Usami, Y. Shiraki, and S. Fukatsu, Appl. Phys. Lett. 66, 3024 (1995).
5:15 AM - DD13.03
Synthesis of In-situ Co-doped Si Nanoparticles for Thermoelectrics
Nils Petermann 1 Niklas Stein 2 Victor Kessler 2 Gabi Schierning 2 3 Hartmut Wiggers 1 3
1University of Duisburg-Essen Duisburg Germany2University of Duisburg-Essen Duisburg Germany3University of Duisburg-Essen Duisburg Germany
Show AbstractNanostructuring of thermoelectric materials is a known technology to increase their performance by decoupling the transport properties of phonons and charge carriers. In particular, there is a specific interest in cheap, available and high performance materials. We have developed a gasphase-based synthesis procedure to manufacture silicon-based nanoparticulate thermoelectric materials with tunable electrical and thermal conductivity. Highly doped silicon nanoparticles (NPs) are synthesized in a low-pressure microwave-plasma reactor and a spark-plasma sintering (SPS) approach is used to form a dense, nanostructured bulk from these NPs. Nanoparticles with a tunable diameter are synthesized by plasma-assisted pyrolysis of the gaseous precursors silane (SiH4), phosphine (PH3) and trimethylantimony (C3H9Sb). Synthesis starts via a supersaturated vapor of silicon-, phosphorous- and antimony atoms and -radicals formed within the plasma. Homogeneous nucleation, coalescence and collision leads to particle formation while particle growth is quenched due to a steep temperature drop downstream the hot plasma zone. As the time scale of the temperature drop is small compared to particle collision and merging, spherical and single-crystalline particles are obtained from the plasma process. Depending on residence time and precursor concentration, particles with a mean diameter between 5 and 50 nm are available while the charge carrier concentration is adjusted by the concentration of the respective dopant. The particles are filtered from the gas stream and bottled under inert gas conditions. For further investigation, they are compacted via spark-plasma sintering. The process enables to form macroscopic, dense bodies (99% bulk density) with grain sizes smaller than 100 nm depending on sintering parameters and the initial particle size . Their Seebeck-voltage and electrical conductivity are measured using ZEM-3 from Ulvac Technologies. For samples doped with 1% of phosphorous we found room-temperature values for the Seebeck-voltage and electrical conductivity of approximately -130 µV/K and 610 S/cm, respectively. We discuss the influence of replacing phosphorous with antimony and additional co-doped samples and the temperature-dependent behavior of the electric transport properties. It will be shown that the thermoelectric figure of merit for our silicon-based materials can reach values of approximately 0.5. The utilization of our tailor-made, nanosized silicon-based materials can provide the basis for environmentally benign, non-toxic and economic thermoelectrics.
5:30 AM - DD13.04
Manganese and Magnetism in Heteroepitaxial Ge/Si (001) Quantum Dots
Joseph Kassim 1 Matthieu Jamet 2 Christopher Nolph 1 Petra Reinke 1 Jerrold A. Floro 1
1University of Virginia Charlottesville USA2CES/Institut des Nanosciences et Cryogenie Grenoble France
Show AbstractMotivated by recent reports of above-room temperature dilute ferromagnetism in Mn-doped Ge quantum dots (QDs) grown by MBE co-deposition on Si (001), we have examined the morphology, structure and chemistry of this system in detail. Ge QDs were grown with 0, 2 5, and 10 at% Mn, based on the flux ratio JMn/JGe. Growth was performed at 450 C, which allows enough surface mobility to form dense QD arrays. However, this is a much higher temperature than proscribed for metastable substitutional incorporation of Mn in MBE-grown Ge. In all our Mn-doped samples, low temperature ferromagnetism is observed, with Curie temperatures < 50 K, and saturation moments < 0.5 mu;B/Mn. In the 2% Mn sample, atomic force microscopy detects no obvious second phases - only standard Ge QD morphologies. However, cross-section TEM reveals a sparse array of buried precipitates in the Si substrate, located below a small fraction of the Ge QDs. The phase has not been identified yet, but strong Moire&’ fringing indicates crystallinity with a lattice different from that of Si. While energy dispersive analysis of x-rays (EDX) does not find Mn in the QDs, careful secondary ion mass spectroscopy (SIMS) depth profiling suggests that up to 60% of the deposited Mn resides in the Ge. Nonetheless, we do not observe indications of room temperature ferromagnetism. In the 10% Mn sample, copious second phase precipitates form on the surface, in the form of both rods and particles distinguished from, and lying alongside, an otherwise unperturbed population of Ge QDs. Extensive transmission electron microscopy studies reveal that the second phase structures consist of manganese silicides, with structures cubic B20 MnSi and the chimney ladder phase MnSi1.75. Mn is only detected in the precipitates - the Ge QDs themselves contain no Mn to the sensitivity of EDX point measurements. The observed magnetic response is consistent with reported behavior for MnSi. Despite the large quantity of Mn in this sample, the saturation moment, on a per-Mn basis, is larger in the 2% doped sample. Support of the National Science Foundation under grant DMR-0907234 is gratefully acknowledged.
5:45 AM - DD13.05
Manganese Doping of Ge-quantum Dots - An STM Growth Study
Christopher A. Nolph 1 Kiril R. Simov 1 Joseph Kassim 1 Jerrold Floro 1 Petra Reinke 1
1University of Virginia Charlottesville USA
Show AbstractMagnetic doping of group IV semiconductors with Mn is highly coveted since it would allow combining charge and spin driven device structures in a single material system. The goal of our work is to produce Mn-doped Ge-quantum dots, which promise a deterministic assembly of magnetic structures on the mesoscale. However, the Mn-Si-Ge materials system, where Si is introduced via the substrate, is highly complex. It is governed by a very low equilibrium solubility, and a high surface as well as bulk mobility of Mn, and the competition of second phase formation in the form of germanides, silicides or even mixed phases with complex magnetic signatureS. We therefore present here a scanning tunneling microscopy study of the growth process of Ge-QDs by co-deposition of Mn and Ge, and the interaction between Mn and the relevant growth surfaces of the system, the Si(100), strained Ge(100) and Ge-QD(105) surface. The deposition of Mn on the Ge-QDs at room temperature leads to the formation of small Mn-islands, whose orientation is determined by the facet reconstruction but their position on the QD facet is independent of the QD strain field. The bonding of the Mn-islands is characterized by a back-bonding of d-states into the empty p-band of the reconstructed Ge(105) surface. Annealing of the Mn-islands introduces rapid transition in Mn-Ge bonding, diffusion of Mn across the facet and onto the wetting layer, and agglomeration of secondary phases begins around 550 K. The mobility of Mn increases dramatically with temperature, which is a driving force in the nucleation of secondary phases, and plays a decisive role in the co-deposition of Mn and Ge under Ge-QD growth condition. The surface-doped, low T Mn islands can be conformally overgrown with a Si- or Ge capping layer and provide a route to building buried magnetic structures. The co-deposition of Mn and Ge with QD growth conditions (T=750 K) appeared according to recent studies the most promising route to QD doping. These studies indicated the formation of a robust, dilute magnetic semiconductor in Ge QDs with Curie temperatures above 300 K. The elevated temperature, however, promotes rapid Mn diffusion with a diffusion length of several hundred nm, and thus formation of second phases. These second phases dominate for Mn concentrations above 5% of Mn, where the QD morphology begins to change and large second phase crystallites appear, many of them are silicides whose formation is facilitated by the large substrate reservoir of Si. A weak ferromagnetic or superparamagnetic signature with Curie temperatures below 100 K is observed in the co-deposited samples. Mn-layers embedded in a Si or Si-Ge matrix are used to unravel the impact of different bonding states on magnetism. We will discuss the complex interactions in the Mn-Ge-Si material system and present a model of the growth mechanism.
DD10: Rare-earth Emitters
Session Chairs
Wednesday AM, November 28, 2012
Hynes, Level 2, Room 207
9:00 AM - *DD10.00
Negative Refractive Index Silicon Photonic Crystals: A Metamaterial to Control the Light at Nanoscale
Stefano Cabrini 1
1Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractInspired by the concept of complementary media, we experimentally demonstrate that a quasi-zero-average-index metamaterial {1} (alternating, stripe layers of negatively refracting photonic crystals and positively refracting (air) materials) fully preserves the beam spot size throughout the sample for a light beam traveling through the metamaterial a distance of 4 mm. These results demonstrated the first explicit experimental verification of optical antimatter as proposed by Pendry and Ramakrishna {2}, using two complementary media in which each neff=-1 layer appears to annihilate an equal thickness layer of air. To visualize better the propagation of the infrared light in the device we have used Lanthanide-doped upconverting nanoparticles. They are converting low frequency light to high frequency light through a multiphoton process. We deposit a thin layer of upconverting Er3+ doped NaYF4 nanoparticles (15 to 25-nm) on a quasi-zero-average-index crystal over a 2-4 mm area to observe light propagation through the structure{3}. The enhanced field intensity confined in photonic crystals at near infrared wavelength is detected in visible green light under conventional optical microscope. Further analysis showed the presence of localized plasmon-like modes at the surface of the silicon two-dimensional photonic crystal slab. Those results open new possibilities to control the light at nanoscale using dielectric materials that can reduce sensitively the losses. {1} J. Pendry and S. Ramakrishna, J. Phys. Condens. Matter 15, 6345 (2003)] {2} V. Mocella, S. Cabrini, A. S. P. Chang, P. Dardano, L. Moretti, I. Rendina, D. Olynick, B. Harteneck, S. Dhuey; “Self-Collimation of Light over Millimeter-Scale Distance in a Quasi-Zero-Average-Index Metamaterial”; Phys. Rev. Lett. 102, 133902 (2009) {3} Zhang Jingyu; Pick Teresa E.; Gargas Daniel; Dhuey Scott; Chan Emory M.; Wu Ying; Liang Xiaogan; Schuck P. James; Olynick Deirdre L.; Helms Brett A.; Cabrini Stefano; “Probe field enhancement in photonic crystals by upconversion nanoparticles”, J. Vac. Sci. Technol. B 29, 06F403 (2011).
9:30 AM - *DD10.01
Rare Earth Doped Si-based Matrices for Photonic and Photovoltaic Applications
Fabrice Gourbilleau 1 Chuan-Hui Liang 1 Yong-Tao An 1 Larysa Khomenkova 1 Julien Cardin 1 Christophe Labbe 1 Magali Morales 1 Christian Dufour 1
1CIMAP Caen Cedex 4 France
Show AbstractSince the discovery of the quantum confinement (QC) effect of carriers in nanometer sized Si particles, numerous studies have been carried out to benefit from the QC for developing new materials, CMOS compatible, having potential applications in numerous domains. Among them, we cite (i) microelectronics with the nanomemory devices, (ii) photonics with the benefit of sensitizing effect of Si nanoclusters towards rare earth ions and (iii) more recently photovoltaics for the future generation of solar cells. The purpose of the present work is to investigate the excitation process of several rare earth ions in different Si based matrices for the development of photonic and photovoltaic devices. Depending on the host matrix, specific aspects of the energy transfer between Si nanoclusters (band tail states, oxygen defect center (ODC) etc..) and rare earth ions have been studied. In the case of Er-doped HfSiO layers fabricated by magnetron sputtering, we demonstrate the possible formation of Si nanoparticles (Si-np) and their efficient sensitizer role as well as those of defect levels (Si-ODC and/or Hf-ODC) to excite the Er ions. In the case of Nd3+ ions, silicon rich-silica and/or -silicon nitride host matrices have been studied. Luminescence properties of the deposited thin films have been analyzed and optimized before processing waveguides on thick layers. The feasibility of an optical amplifying waveguide system doped with RE and Si-np has been analyzed through the modelling of the excited structure by an Auxiliary Differential Equation (ADE) and a Finite Difference Time Domain (FDTD) method. Two configurations of pumping have been investigated, i.e. top-pumping and co-propagation of pump and signal, and compared with experimental results. The optical properties (losses, gain, guided luminescence) demonstrate the benefit of working with a four level system rather than with a three level one such as in the case of Er3+ ions. On the photovoltaic point of view, the efficient excitation mechanism of rare earth ions could be of interest to achieve a quantum cutting process allowing the conversion of an energetic photon into two ones with a lower energy. Such layer fabricated in a process compatible with the Si solar procedure offers potential application in the research of increasing efficiency of the solar cells. Tb-Yb doped Si-based thin films have been produced by reactive magnetron sputtering. The optimized fabrication conditions have been determined to demonstrate and enhance non-resonant excitation efficiency of the Tb3+ ions through the matrix. By adding Yb3+ ions to the system, quantum cutting effect has been evidenced with a quantum efficiency higher than 180% depending on the [Yb]/[Tb] ratio. The last part of the presentation will concern the last developments performed on these systems using plasmonic effect.
10:00 AM - *DD10.02
Visible Light Emission from Rare-earth Doped Silicon-based Nanostructures
Patrick Wilson 1 Zahra Khatami 1 Ryszard Dabkowski 1 Jacek Wojcik 1 Peter Mascher 1
1McMaster University Hamilton Canada
Show AbstractThe possible application of luminescent Si-based materials for solid-state lighting (SSL) has emerged as an interesting area of research as it would offer substantial advantages in terms of cost and manufacturability. In order for Si-based materials to be used in SSL schemes it is necessary to have precise control of the emission from these materials. This can be accomplished through the use of rare earth dopants such as Ce, Tb, and Eu to obtain precise blue, green, and red emissions, respectively. Details of the luminescence mechanisms in these materials, however, remain unclear, particularly in cases where the composition of the host matrix is varied and/or where nanoclusters form during the anneal process. The formation of silicon nanocrystals in silicon-rich oxides, nitrides, and oxynitrides can occur during post-deposition annealing of the thin films. Nano-structured silicon shows quantum confinement effects that contribute strongly to the luminescence. In this talk, I will concentrate on the luminescence of rare earth (Ce, Tb, Eu) doped silicon oxides and nitrides. We have demonstrated very high, optically active concentrations of the rare earths by using in-situ doping processes, using electron cyclotron resonance chemical vapour deposition (ECR-CVD) or inductively coupled plasma (ICP) CVD as low thermal budget processes for film deposition. I will describe the salient features of the deposition systems and relate important process parameters to the observed luminescence. I will also discuss the application of synchrotron-based techniques to the investigation of the luminescence mechanisms in such structures. Our studies at the Canadian Light Source synchrotron facility include X-ray excited optical luminescence (XEOL) and the analysis of X-ray absorption near edge structure (XANES) at the Si and O K-edges and the Si L3,2-edge. Through the analysis of the XANES and XEOL, details of the microscopic materials structure and its relation to the luminescence mechanisms can be determined. In particular, the chemical sensitivity of the XEOL process provides a site-specific method for the analysis of luminescence excitation processes. Finally, I will discuss the significance of the results in the context of developing electrically driven lighting cells suitable for SSL along with challenges in the development of white light emitters from rare earth doped Si-based materials. Acknowledgements This work has been financially supported by the Natural Sciences and Engineering Research Council of Canada (NSERC), the Centre of Photonics of Ontario Centres of Excellence (OCE), Inc., and the Canadian Institute for Photonics Innovation (CIPI). Part of this research was performed at the Canadian Light Source synchrotron facility, which is supported by NSERC, CIHR, NRC, and other government agencies.
10:30 AM - DD10.03
Rare-earth Doped Si-rich ZnO Thin Films for Novel Light Emitting Devices
Emanuele Francesco Pecora 1 Thomas Ian Murphy 1 Luca Dal Negro 1
1Boston University Boston USA
Show AbstractTransparent conductive oxide materials are currently utilized as top-contact passive layers in many optical devices, including emitters and solar cells. In particular, zinc oxide has been largely investigated due to its wide direct band-gap, large exciton binding energy, radiation hardness, photoconducting and piezoelectric properties, thermal stability as well as bio-compatibility and possible VLSI compatibility. Band-to-band radiative recombination has been recently demonstrated resulting in efficient UV and visible LEDs and lasers. In this work, we propose Si-rich rare-earth doped ZnO as a novel materials platform for efficient light emitting devices under optical and electrical pumping in the near-IR for telecom and bio-compatible applications. Er and Nd (co-)doped ZnO thin films have been grown on silicon and quartz substrates through confocal magnetron co-sputtering. Structural, optical and electrical properties have been investigated over a wide range of growth conditions and thermal processes by the use of several techniques to find the best conditions for rare earth light emission. Excitation and transport mechanisms are studied through photoluminescence spectroscopy, photoluminescence excitation spectroscopy, and time-resolved photoluminescence using a widely tunable OPO laser (350 - 2000 nm) pumped by an high-power Ti:sapphire laser. Rare earth-related emission has been observed peaked at 920, 980, 1040 and 1540 nm. Furthermore, we demonstrate efficient energy sensitization of rare earth due to the presence of excess Si in the oxide semiconductor active layer. Significantly enhanced near-IR emission has been obtained after systematic optimization of the Si-rich zinc oxide stoichiometry. These findings pave the way for the integration of ZnO active layers with mainstream silicon technology for the demonstration of integrated and bio-compatible light sources and sensors.
10:45 AM - DD10.04
Sensitization of Er3+ Ions through Contact Coupling by Ge Nanostructures Produced by Pulsed Laser Deposition
Javier Martin Sanchez 1 Johann Toudert 1 Rosalia Serna 1
1Insituto de amp;#211;ptica - CSIC Madrid Spain
Show AbstractGroup IV semiconductor nanostructures (NSs) offer very useful and unique size dependent properties for practical applications in optoelectronics, non-volatile memories and solar cells [1]. The interest in Ge NSs has been recently increasing due to their relevant physical properties such as near-direct band-gap, high band-gap sensitivity to NPs size variations and large carrier mobility compared to Si, while maintaining compatibility with state-of-the-art silicon technology. The use of Si NSs as sensitizers for enhanced optical emission of rare-earth Er3+ ions at wavelengths around 1.54 mu;m has been demonstrated and is well established. Since the Ge exciton recombination energy is very close to the Er3+ fundamental radiative transition, Ge Ns are thus expected to show a very efficient sensitizing effect. Nevertheless, the reports in the literature are very controversial so far, and some of them even suggest the occurrence of a favorable energy back transfer from Er 3+ to Ge NSs. Defects in the matrix or at the Ge NSs/matrix interface are claimed to play a key role that hinders sensitization. The role of defects can be minimized when Ge Ns- Er3+ interaction takes place through contact coupling as it has been demonstrated for amorphous Si NSs [2]. In this work, the Er3+ sensitization by amorphous Ge NSs through contact coupling is demonstrated, and its properties are investigated. Multi-layer [Ge NSs-Er3+ / Al2O3 (10 nm)] thin films have been prepared by pulsed laser deposition at room temperature in a single step. The Ge deposit morphology has been modified ranging from well defined and isolated nanoparticles to continuous nanometric-thick layers. In order to achieve contact coupling, areal densities of about 1013 at/cm2 Er3+ ions have been depositied directly on top of the Ge NSs. The enhancement of the Er3+ photoluminescence intensity is shown to depend crytically on the NSs morphological and structural properties. The best results are achieved for Ge NSs evidencing quantum confinement effects. Finally, the potential of the Ge NSs as Er3+ sensitizers compared to that of Si NSs will be discussed. [1] J. Martín-Sánchez et al. J. Nanop. Res. 14 (2012) 843. [2] S. Nuacute;ñez-Sánchez, P.M. Roque, R. Serna et al. Appl. Phys. Lett. 98 (2011) 151109.
DD11: Photonic and Nonlinear Devices
Session Chairs
Wednesday AM, November 28, 2012
Hynes, Level 2, Room 207
11:30 AM - *DD11.01
Enhancement of Light Emission and Absorption in Silicon Photonic Crystals
Masayuki Fujita 1 2 Yoshinori Tanaka 1 Susumu Noda 1
1Kyoto University Kyoto Japan2Osaka University Toyonaka Japan
Show AbstractSilicon is currently the most pervasive semiconductor material used in electronics. However, due to the indirect optical transition in silicon, light-emission efficiency and optical-absorption coefficient are considerably low. Therefore, improving the light emission from silicon is one of the most challenging issues in photonics and material science. Enhancement of the optical absorption in ultrathin silicon is also important for applications to highly efficient photodetecting devices including photo sensors and solar cells. Here photonic nanostructures, especially photonic crystals, in which the refractive index varies periodically on a length-scale comparable to the optical wavelength of interest, have attracted much attention as a powerful tool for the manipulation of photons. In this symposium, we will present recent progress on controlling the light emission and the absorption of silicon by photonic crystals.
12:00 PM - DD11.02
Photon Dispersion and Light Emission from Aperiodic Vogel Spiral Arrays of Dielectric Nanopillars
Jacob Trevino 1 Nate Lawrence 2 Gary Walsh 2 Luca Dal Negro 2 1
1Boston University Boston USA2Boston University Boston USA
Show AbstractWe present an experimental and theoretical investigation of the structural and photonic mode properties of light emitting Vogel spiral arrays of dielectric cylinders in air. We will show that the rich structural complexity of Vogel spirals result in a multifractal photonic mode density and isotropic bandedge modes with distinctive spatial localization character. We have designed and fabricated silicon nitride (SiN) golden angle spiral nanopillar arrays with localized bandedge modes at the emission wavelength of a commercial near-infrared (NIR) laser dye. Variable-angle reflectance measurements are utilized to experimentally investigate the photon dispersion diagram of spiral arrays, and to locate photonic bandgaps, in good agreement with rigorous coupled-wave analysis (RCWA) calculations. The optimization of Vogel spiral structural parameters for light emission enhancement in SiN nanopillar arrays will be presented along with optical characterization of Orbital Angular Momentum (OAM) states generated by the structures. These findings offer the opportunity to create novel photonic devices that leverage radially localized and isotropic bandedge modes with well-defined OAM values to enhance light-matter coupling, such as optical sensors, light sources, concentrators, and broadband optical couplers.
12:15 PM - DD11.03
Radiation Engineering with Aperiodic Nanopillar Arrays
Nate Lawrence 1 Jacob Trevino 2 Luca Dal Negro 1 2
1Boston University Boston USA2Boston University Boston USA
Show AbstractWe engineer aperiodic nanostructures for enhanced omnidirectional light extraction and coupling of 1.55 µm radiation to distinctive optical resonances carrying Orbital Angular Momentum (OAM) using light emitting Si-based materials. By systematically studying Archimedean, pinwheel and golden angle (GA) Vogel spiral nanopillar arrays, which display an increasing degree of rotational symmetry in Fourier space, we show that omnidirectional extraction is achieved, leading to light emission enhancement from planar devices. To demonstrate their potential we fabricate nanopillar arrays by electron beam lithography (EBL) and reactive ion etching (RIE) in Erbium doped silicon-rich nitride. Design of nanopillar arrays is performed using finite-difference time domain (FDTD) simulations to optimize pillar height and analytical Bragg scattering calculations to efficiently optimize array parameters over a wide range of values. Experimental results obtained using leaky-mode photoluminescence spectroscopy demonstrate over 10 times extraction enhancement at 1.55 µm from aperiodic GA spirals, in agreement with theoretical predictions. Additionally, by combining imaged emission patterns and finite element method (FEM) calculations we demonstrate that active Vogel spiral arrays support distinctive modes carrying OAM with azimuthal numbers corresponding to the Fibonacci sequence. These findings offer unique opportunities for the engineering of novel active structures that leverage isotropic emission patterns and the manipulation of structured light for secure optical communication on a Si-based platform.
12:30 PM - DD11.04
Demonstration of Second Harmonic Generation in Substoichiometric Silicon Nitride Materials
Emanuele Francesco Pecora 1 Antonio Capretti 1 2 Giovanni Miano 2 Luca Dal Negro 1
1Boston University Boston USA2Universitamp;#224; degli Studi di Napoli Federico II Napoli Italy
Show AbstractHarmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signals processing devices with electronic components. Bulk silicon possesses a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry; indeed the presence of a surface or the application of a strain can introduce non-linear effects. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. Its nonlinear properties are expected to be stronger than silicon given its higher refractive index and the lower two-photon absorption efficiency, despite its lower second-order susceptibility term; moreover, it is fully silicon compatible. Recently, silicon-rich silicon nitride has been investigated for the engineering of LEDs, waveguides, amplifiers, photonic crystal nanocavities and optical modulators. In this work, we propose to take advantage of silicon excess in silicon nitride to increase its Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their structural properties have been characterized with EDX analysis. Moreover, the linear optical properties of Si-rich silicon nitride thin films have been studied by optical transmission and ellipsometry. The nonlinear optical properties of the fabricated materials have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10^-6 in optimized films. Generation efficiency and the second order nonlinear optical susceptibility are estimated for all the investigated samples as a function of stoichiometry and annealing conditions. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.
12:45 PM - DD11.05
Light Emission from Erbium Doped Silicon Sot Waveguides
Joan Manel Ramirez 1 Yonder Berencamp;#233;n 1 Oleksey Anopchenko 2 Nikola Prtljaga 2 Andrea Tengattini 2 Lorenzo Pavesi 2 Jean-Marc Fedeli 3 Blas Garrido 1
1University of Barcelona Barcelona Spain2University of Trento Trento Italy3CEA Lamp;#233;ti Grenoble France
Show AbstractWe have developed an appealing alternative for monolithic integration of light sources emitting at 1.5 µm in CMOS photonic circuits. We have first optimized the emitting properties of silicon based materials (silicon rich oxides and nitrides doped with erbium) in terms of composition and doping to maximize light emission, power efficiency and lifetime of simple MOSLED capacitors and transistors. By using optimized structures, we have built a novel light emitting device based on an asymmetric horizontal slot waveguide. This active waveguide is coupled to a passive Si waveguide by an inverted taper and, finally, the light is coupled to the exterior world by a grating. Typical power density is from tens to hundreds of mu;W/cm2 and follows a superlinear behavior with the current density. We have been able to quantify free carrier absorption losses under electrical injection. This work opens the way to the routing of internally generated optical signals in photonic chips.
Symposium Organizers
Philippe Fauchet, University of Rochester
Luca Dal Negro, Boston University
Susumu Fukatsu, University of Tokyo
Tony van Buren, Lawrence Livermore National Laboratory
Caroline Bonafos, "Universite de Toulouse CEMES-CNRS, Groupe Nanomat"
Symposium Support
Lawrence Livermore National Laboratory
DD16: Hybrid Structures and Devices
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 2, Room 207
2:45 AM - DD16.01
Heteroepitaxial Bonding of Si for Hybrid Photonic Devices
Eric Le Bourhis 1 Gilles Patriarche 2 Anne Talneau 2
1Institut P', UPR 3346, CNRS - Universitamp;#233; de Poitiers - ENSMA Chasseneuil France2UPR 20 CNRS Marcoussis France
Show AbstractWe develop heteroepitaxial bonding of Si for hybrid photonic devices. Today, hybridation requires an intermediate layer which limits the device performances. Hence, producing high quality hybrid interfaces without employing any intermediate layer is challenging. It also opens new application fields since we could integrate hybrid materials left by conventional methods. However, it is mandatory that all bonds at the interface be reconstructed in order to preserve the crystalline properties and nanostructuration of each material. We report on silicon bonding to III-V semi-conducteurs e.g. Si/GaAs or Si/InP for emission/amplification function while work is in progress with silicon bonding to magneto-optic grenats for optic insulation. The materials have been bonded to silicon since it can be nanostructured to obtain optical guides. The bonded surfaces are of the order of ~ 1 cm2 which has been shown feasible recently for InP/Si bonding. Special attention has been paid to the surface preparation. Its structure has been checked after acid processing and before bonding. The interface strength has been measured mechanically employing instrumented nanoindentation [1] while its structure and mechanical resistance has been be analyzed in depth with transmission electron microscopy. [1] E. Le Bourhis, L. Largeau, G. Patriarche, Journal of Materials Research, 27, 177 (2012)
3:00 AM - DD16.02
Double Superexchange Bridge Networks for Quantum Dot Assemblies
Mark Thomas Lusk 1 Huashan Li 1 Zhigang Wu 1 Reuben T. Collins 1
1Colorado School of Mines Golden USA
Show AbstractSilicon quantum dots (QDs) with diameters in the range of 1-2 nm have properties that make them particularly attractive for photovoltaic applications. As compared with dots of greater size, they absorb photons more readily, transport excitons with greater efficiency[1], can be manufactured essentially free of defects[2], and are more robust to oxidation[3]. In addition, these small dots use their slice of the solar spectrum better[4], show greater promise in multiple-exciton generation and hot carrier collection paradigms[5,6], and have a higher electronic coupling for the same surface-to-surface distance[1]. Quantum confinement allows their optical gaps and other photoelectric properties to be significantly modified by changing their size and manipulating surface terminations. Such small QDs can be plasma synthesized in nearly mono-sized distributions with a wide range of functional ligands and are readily assembled into thin films[7]. Despite the positive attributes of such tiny QDs, their high excitonic binding energy is a liability in the design of new solar cell materials because it makes it difficult to dissociate excitons into separate charge carriers. We will report, though, on a promising new Type-II heterojunction paradigm in which QDs are networked via covalently bonded, short bridge molecules. Our first principles computational investigation shows that it is possible to rapidly and efficiently separate electrons to QDs and holes to bridge units. Surprisingly, these bridges can also serve as efficient mediators of electron superexchange between QDs while the dots themselves play the complimentary role of efficient hole superexchange mediators for transport from bridge to bridge. Dissociation, photoluminescence and carrier transport rates will be presented for bridge networks of silicon QDs that exhibit such double superexchange. This work is supported by the NSF through the Renewable Energy Materials Research Science and Engineering Center (REMRSEC). References: [1] Z. Lin, H. Li, A. Franceschetti, M.T. Lusk, ACS Nano, 6, 4029, 2012. [2] S. Niesar, et al., 22, 1190, 2012. [3] H. Li, M.T. Lusk, Z. Wu, In Review, 2012. [4] M. C. Beard et al., Nano Letters 10, 3019-3027, 2010. [5] Z. Lin, H. Li, A. Franceschetti and M. T. Lusk, ACS Nano, 6, 4029, 2012. [6] A. J. Nozik et al., Abstr. Pap. Am. Chem. Soc. 242, 2011. [7] I. E. Anderson et al., J. Phys. Chem. C 116, 3979, 2012.
3:15 AM - DD16.03
Surface Plasmon Enhanced Silicon Nanowire Based Photodetectors
Emre Mulazimoglu 1 3 Sahin Coskun 1 Rasit Turan 2 3 Husnu Emrah Unalan 1 3
1METU Ankara Turkey2METU Ankara Turkey3METU Ankara Turkey
Show AbstractIn this study, we have investigated the effect of silver (Ag) nanoparticle decoration onto silicon nanowire (SiNW) network for photodetectors. It has been long known that Ag nanoparticles start to oscillate and resonate under electromagnetic radiation, while size and shape of the nanoparticles can be used to tune this resonance. The effect of Ag decoration onto SiNW network for photodetectors would be enhancing both the absorption of the semiconductor by the far field effect and generation of the carriers by the near field effect due to the surface plasmon resonances of Ag nanoparticles. The transport properties on the other hand, would be increased by the formation of the Schottky barrier between the metal and semiconductor, which would confine the carriers from the surface of the NW, preventing surface entrapment. For the fabrication of PDs, vertical arrays of Si NWs with different lengths have been fabricated by electroless etching method [1]. Then, the NWs were dispersed in water and deposited onto glass substrates through vacuum filtration and stamping onto conducting electrodes. The Ag nanoparticle decoration onto SiNW network was achieved by evaporation of Ag and subsequent annealing under protective atmosphere. The effects of evaporated film thickness, annealing time and temperature on the Ag nanoparticle size and the PD characteristics have been investigated. [1] B. Ozdemir, M. Kulakci, R. Turan, and H. E. Unalan; “Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires”; Nanotechnology; 2011; vol. 22, pp. 155606
3:30 AM - DD16.04
Defect Filtering by Nanostructured Layers for Epitaxial Growth of Film Crystal Silicon Solar Cells
Benjamin G Lee 1 Kim M. Jones 1 Charles W. Teplin 1 David L. Young 1
1National Renewable Energy Lab Golden USA
Show AbstractNanostructured Si layers are used to decrease defect densities by more than 2 orders of magnitude, for epitaxial growth of Si on inexpensive substrates for photovoltaic applications. Thin, large-grained crystalline Si solar cells deposited on inexpensive substrates are envisioned with cell efficiencies approaching that of state-of-the-art wafer Si cells, but at significantly lower cost [1]. A "seed and epitaxy" approach for these cells consists of initially forming a seed layer template, and then epitaxial growth of a thin, crystalline Si cell starting from this seed. Seed layers consisting of buffered biaxially-textured metal foil substrates [2], ion-beam assisted deposition of CaF2, aluminum-induced crystallization of Si [3], zone-melting recrystallization and laser crystallization of Si [4] have been tested. However, all of these seeds have varying degrees of intragrain defects, which propagate from the seed layer into the epitaxial Si film. Here, we demonstrate dramatically reduced defect densities in the epitaxial Si, by a nanostructured layer that promotes annihilation of dislocations. We use a model system: namely, highly defective single-crystal Si heteroepitaxially deposited on an R-plane sapphire substrate by hot-wire CVD [2]. After heteroepitaxy of an initial thin Si layer on the sapphire substrate, we apply the nanostructured filter layer, and then perform subsequent further epitaxial growth of Si. Cross-section TEM analysis shows that only a thin nanostructured layer <500 nm thick is required to reduce the dislocation density by 2 orders of magnitude or more. Etch pit density (Secco etch) comparisons between samples with and without defect filtering will also be presented. Our nanostructured defect filtering shows promise in improving epitaxial Si material quality for solid-state applications, particularly in photovoltaics. We will present initial solar cell device results. We will also show how the nanostructured defect filtering technique can improve Ge epitaxy on inexpensive substrates, and possible further implications for III-V materials and devices. * Patent filing is in process; thus some details cannot be disclosed yet. However, this is nearly complete, so a full presentation of results can be made at the conference. The authors acknowledge useful discussions with H.M. Branz and P. Stradins. We thank J.H. Selj, D.C. Bobela, M. Colby, and J. Pineau for help in sample fabrication. Funding support is provided by the U.S. DOE under Contract DE-AC36-08GO28308. [1] H.M. Branz et al., Thin Solid Films 519, 4545-4550 (2011). [2] C.W. Teplin et al., Energy Environ. Sci. 4, 3346-3350 (2011). [3] I. Gordon et al., Prog. Photovolt: Res. Appl. 15, 575-586 (2007). [4] Gudrun Andrä and Fritz Falk, Phys. Status Solidi C 5, 3221-3228 (2008).
3:45 AM - DD16.05
Group IV NW Growth within High Boiling Point Organic Solvent Vapour
Hugh Geaney 1 Kevin M Ryan 1
1University of Limerick Limerick Ireland
Show AbstractGroup IV nanowires (NWs) have attracted intense research interest due to their suitability for use in photovoltaics, transistor architectures and as lithium battery anode materials. Group IV NWs have been grown in a wide range of media via various catalytic processes with the methodology for Si and Ge NW growth largely analogous. Gold catalyzed NW growth using silane/germane CVD is the archetypal route owing to the well established eutectic behaviour which exists between Au/Si and Au/Ge. Recently, focus has shifted towards the development of more cost effective approaches, with specific emphasis on scalable solution based syntheses. These solution based approaches are complicated by the high temperatures required for Si and Ge NW growth (typically >400 °C), necessitating the use of high boiling point organic solvents (HBS). However, HBS approaches may not be compatible with substrate based growth which is particularly relevant for the emerging use of Si and Ge NWs as Li ion battery anode materials where NWs ideally need to be grown directly on a metal substrate. Here, we show that the vapour of high boiling point organic solvents is a versatile medium for both Si and Ge NW growth directly on metal current collectors for Li ion applications. The use of the vapour portion of the reaction medium is achieved by heating the HBS above it boiling point within standard Pyrex glassware, with NW growth occurring on the portion of the substrate in contact with the heated vapour. NW growth is initiated through the thermal decomposition of commonly used organometallic precursors and leads to high density growth in all cases. The system is suited to the growth of Ge and Si NWs on different metal substrates using the correct catalytic processes. Ge NWs can be grown directly from a bulk Cu foil without the incorporation of discrete nanoparticle seeds due to the in situ formation of a catalytic copper germanide layer. The resulting Ge NWs grow in high density on the substrate with each possessing an orthorhombic copper germanide catalyst tip. Si NWs can also be grown directly in the same HBS vapour system from stainless steel current collectors using In as a catalyst material. In was chosen as catalyst as it is a material of interest for use in lithium ion battery anodes, paving the way towards ‘hybrid composite&’ NWs where both the NW and catalyst add to device efficiency. CV measurements of the NW composites show that both the catalyst seed and the Si NW are contributing to capacity, while extended charge/discharge cycles show good capacity retention. This work illustrates that HBS vapour is a highly versatile medium for Si and Ge NW growth with the potential for high density NW growth and scope for extension into the incorporation of various catalytic substrates.
DD17: Quantum Structures
Session Chairs
Thursday PM, November 29, 2012
Hynes, Level 2, Room 207
4:30 AM - DD17.01
Chemical Bonding and Defect States of Si Quantum Dots Embedded in Si3N4
Shakil Mohammed 1 Michael Nimmo 1 Yves Chabal 1 Anton Malko 1 Christopher Hinkle 1
1University of Texas at Dallas Richardson USA
Show AbstractThe discovery of luminescence from porous silicon[1] followed by the formation of silicon quantum dots[2] has opened the door to vast opportunities for Si CMOS-compatible optical, electro-optical, and memristive applications. The primary focus of this work is to investigate the chemical bonding and luminescence properties of Si-QDs included in a silicon nitride matrix. Silicon nitride has a lower tunneling barrier than silicon oxide allowing for more efficient transport of charge carriers to and from the Si-QD, making it more suitable as a host matrix for electroluminescent and photovoltaic devices. Additionally, Si-QDs embedded in Si3N4 have significant promise in developing memory devices operated at low voltage and memristors.[3] While numerous studies have been conducted on Si-QDs,[4,5] there are still many issues that need to be investigated in these materials systems in order to explain the chemical state evolution, charge transport, and luminescence mechanisms. Si-rich SiNx was deposited using a variety of techniques including RF Magnetron Sputtering, PECVD, and LPCVD. The effects of deposition temperature, pressure, and gas flow rates were investigated to obtain control over film composition and morphology. To investigate the formation and evolution of the Si-QDs, the samples were furnace annealed over a range of temperatures between 700 °C to 1000 °C in N2 and/or forming gas. XPS was used to probe the concentration of Si-Si and Si-N bonds and provide information on any spurious oxidation of the host material. FTIR provided further compositional and bonding information including the role of hydrogen following deposition and anneal. Raman and XRD were performed to determine changes in the film following anneal and to determine the onset of QD formation and QD size. Photoluminescence (PL) spectra show various peaks in the visible and near-visible range. These peaks can be attributed to a number of mechanisms in the Si-QD/SiNx system including emission from the Si-QD and localized defects at the QD/SiNx interface. The correlation of the defect state PL to specific chemical bonds is currently being investigated and will be discussed along with proposed ways of passivating those states. 1 L.T. Canham, Applied Physics Letters 57, 1046 (1990). 2 L. Pavesi et al., Nature (London) 408, 440 (2000). 3 A. Mehonic et al., Journal of Applied Physics 111, 074507 (2012). 4 L. Khriachtchev et al., Applied Physics Letters 79, 1249 (2001). 5 L. Dal Negro et al., Applied Physics Letters 82, 4636 (2003).
4:45 AM - DD17.02
Effect of 3D Confinement on Si Nanocrystal Nucleation and Growth
Michele Perego 1 Andrea Andreozzi 1 Gabriele Seguini 1 Celia Castro 2 Sylvie Schamm-Chardon 2 Gerard BenAssayag 2
1Laboratorio MDM, IMM-CNR Agrate Brianza Italy2nMat group, CEMES-CNRS, Universitamp;#233; de Toulouse Toulouse France
Show AbstractSemiconducting nanodots, nanorods and nanowires are expected to be the building block of the next generation of electronic and photonic nano-devices. The ability to synthesize and manipulate these objects on large areas is a formidable challenge and is mainly related to the development of suitable lithographic solutions that allow increasing feature resolution on electronic materials. Conventional top-down approaches based on projection optical lithography reached their limits at the 40 nm node due to inherent diffraction limits of light. Since the beginning of the century, alternative methods for nanoscale patterning based on self-assembly strategies have been extensively studied and block copolymer has emerged as an extremely promising tool in order to overcome the size scale limitations of conventional photolithography techniques. In this work we propose a fabrication method for the synthesis of nano-patterned arrays of Si nanocrystals using highly oriented block copolymer thin films as a template for a lift off process. Asymmetric PS-b-PMMA block copolymers with a styrene fraction of 0.71, Mn=67100 and PDI 1.09 were used. When deposited in the form of thin film, these BC naturally self-organize upon annealing, and form a PS matrix with hexagonally close-packed PMMA cylinders perpendicularly oriented with respect to the substrate. The PMMA cylinders were selectively removed and the deposition of a nominally 5 nm thick silicon layer was performed by electron beam evaporation. The nanoporous PS mask and the excess of silicon were removed by degradation of the polymeric film in piranha solution. After the removal of the polymeric template, we obtained well-defined hexagonally packed amorphous Si dots with dimensions (d=20 nm), density (1.2x1011 cm-2) and lateral distribution that closely mimic the original nanoporous template. Upon capping with SiO2 and high temperature annealing (1050°C, N2), each amorphous Si nanodot rearranged in clusters of Si nanocrystals (d < 4 nm). A flat surface, without the block copolymer nanoporous mask, was processed concurrently in order to fabricate a nanolayered structure for comparative analysis. The comparison with this reference sample indicated that the crystallization process for a-Si nanovolumes confined in 3 dimensions is different compared to a-Si nanolayers where the confinement is 1 dimensional. These results pave the way to new studies related to the crystallization of silicon in small nanovolumes and suggest the possibility to reach extremely precise control and fine tuning of Si nanocrystals dimension and positioning. This work was financially supported by the NANOBLOCK project.
5:00 AM - DD17.03
Controlling Size of Silicon Quantum Dots by Wet-chemical Etching
Gaute Otnes 1 Ingrid E. Anderson 1 Chito E. Kendrick 1 Mark T. Lusk 1 Reuben T. Collins 1
1Colorado School of Mines Golden USA
Show AbstractQuantum dots (QDs) are semiconducting nanocrystals that are sufficiently small that size and shape can be used to control electronic properties, particularly bandgap, through the effects of quantum confinement. QDs made of silicon are gaining increasing interest due to material abundance, nontoxicity and the promise of more straight forward integration with already existing silicon technology. This gives these structures the potential to be useful in a wide range of applications, including photovoltaics, biological imaging, novel sensors, LEDs and a host of other optoelectronic technologies. Since the properties of the QDs are size dependent, an important issue is to be able to reproducibly tune their size and to do so with a method that can be upscaled to macroscopic quantities. Further, our theoretical models predict that very small Si QDs (~1nm) will show favorable stability and optical properties [1,2]. Controlling size in-situ during growth is preferred, however, this is not always simple with synthethic approaches like the plasma process explored here [3]. Post growth wet-chemical etching is a potential alternative route to size control which can also facilitate colloidal processing of the dots [4]. A range of different etches have been thouroughly investigated for bulk silicon, but their effect on QDs is still not well understood, and reducing size into the 1 nm range has proven difficult. QDs of such a size would provide a system bridging the gap between theory and experiments, and open up new exciting possibilities for further discovery. We present an investigation into size reduction by wet-chemical etching of crystalline silicon QDs prepared by plasma enhanced chemical vapor deposition. Both ammonium fluoride and a mixture of hydrofluoric acid and nitric acid are explored, and the effect of the etchants on size, surface termination and optical properties are characterized by PL, PLE, HRTEM, UV-VIS and FTIR. For a deeper understanding of the etching process, PL characterization is also performed in-situ. Systematic blue shifting of PL has been observed, and TEM images show this is due to a shrinking core size. Size control from 6 nm down to 2-3 nm has been achieved. The outlook for achieving less than 2 nm dots by adjusting processing conditions of the wet-chemical etch will be discussed. This work is supported by the NSF through the Renewable Energy Materials Research Science and Engineering Center (REMRSEC) and by the U.S.-Norway Fulbright Foundation for Educational Exchange. References: [1] Z.Lin, H. Li, A. Franceschetti, M.T. Lusk, ACS Nano, 6, 4029, 2012. [2] H. Li, M.T. Lusk, Z. Wu, In Review, 2012. [3] L. Mangolini, E. Thimsen, U. Kortshagen, Nano Letters, 5, 655, 2005. [4] I.E. Anderson et.al., J.Phys. Chem., C 116, 3979, 2012.
5:15 AM - DD17.04
Hydrogen-terminated Germanane; An Air-stable, Direct Band Gap Germanium Graphane Analogue
Josh Goldberger 1 Elisabeth Bianco 1 Sheneve Butler 1
1The Ohio State University Columbus USA
Show AbstractGraphene's success has shown that it is not only possible to create stable, single-atom thick sheets from a crystalline solid, but that these materials have fundamentally different properties than the parent material. We have created two-dimensional single atom thick hydrogen-terminated germanium (GeH) analogues of graphane. A combination of absorbance, fluorescence measurements and high-level theory simulations show that the 0.67 eV indirect band gap of bulk germanium is converted into a 1.55 eV direct gap in GeH. These GeH sheets are stable to oxidation in air for at least one month based on fourier transform infrared spectroscopy (FTIR) measurements. We show that these sheets can be mechanically exfoliated as few layers onto SiO2/Si surfaces, enabling this new class of 2D covalently-terminated single atom thick graphane analogues for study in a wide range of chemical and optoelectronic applications.
5:30 AM - DD17.05
Nanoscale Clusters of Ge Islands on Si Self-assembled on Laterally Controlled Locations
Maria Gherasimova 1 See Wee Chee 2 Robert Hull 2 Mark C. Reuter 3 Frances M. Ross 3
1University of Bridgeport Bridgeport USA2Rensselaer Polytechnic Institute Troy USA3IBM T. J. Watson Research Center Yorktown Heights USA
Show AbstractThe ability to precisely control the location of strained epitaxial islands, or quantum dots (QDs), on the surface of a substrate will enable a variety of potential applications, including the construction of quantum cellular automata (QCA) and the targeted incorporation of internal light sources in photonic crystal cavities and waveguides. For QCA we need to be able to position the QDs in well defined clusters with the individual islands within a cluster at most tens of nanometers apart, to enable charge or spin tunneling, and with separate clusters positioned hundreds of nanometers apart. For photonic crystal cavities, optimizing the performance of a single photon source requires placement of a single QD with tens of nanometers precision in a photonic crystal cavity hundreds of nanometers in size. In the present work, Ge QD synthesis on a Si substrate is achieved by chemical vapor deposition in an ultra-high vacuum environment. We demonstrate that controlled Ge island placement with an inter-island separation down to 100 nm can be reliably obtained by carrying out the Ge deposition onto a Si(001) surface that has been modified with a low dose focused ion beam (FIB) pattern. However, if the patterned sites are less than approximately 100 nm apart (depending on the precise growth kinetics), surface diffusion during growth leads to competition between sites, preventing high fidelity of island registration. In this work, we investigate the possibility of utilizing QD self assembly on topographical features with complex geometry as means of overcoming this limitation and obtaining clusters of QDs with small separations. In particular, we discuss the formation of groups of four Ge islands on the Si(001) surface from a single patterned site. We then discuss the use of novel types of FIB, where the implanted ions are Si rather than the standard Ga, and the use of these novel FIB sources to target specific locations on the surface of planar photonic crystal structures to achieve controlled placement of individual epitaxial QDs in photonic crystal cavities.
5:45 AM - DD17.06
High Resolution X-Ray Diffraction Studies of SiGe Island-arrays Resulting from Large Ge Coverages
Nina Hrauda 1 Jianjun Zhang 2 1 Heiko Groiss 1 Tanja Etzelstorfer 1 Julian Stangl 1 Vaclav Holy 3 Carsten Deiter 4 Oliver H. Seeck 4 Guenther Bauer 1
1Johannes Kepler University Linz Linz Austria2IFW Dresden Dresden Germany3Charles University Prague Prague Czech Republic4Deutsches Elektronen-Synchrotron DESY Hamburg Germany
Show AbstractSiGe islands offer various possibilities for basic research as well as applications. Our research is focused on the employment of 3D SiGe islands to induce strain in the Si channel of a MOSFET. To meet the requirements for device processing they are grown on pre-patterned Si (001) substrates. Usually no more than 15 monolayers of Ge are deposited to obtain such islands. We report on XRD and TEM investigations of defect-free SiGe islands resulting from Ge coverages of up to 38 Ge monolayers. In contrary to the widely known sequence (pyramids - domes - barns - dislocated islands) a cyclic development of the island shape interchanging between dome and barn was observed, where the occurrence of domes is accompanied by a break-down of the average Ge content. This is caused by a complex intermixing process resulting in a core-shell configuration of the islands' Ge distribution leading to strain-relieve within the island while the Ge coverage is increased. The excellent conditions for XRD experiments at P08, Petra III, Hamburg in combination with the core-shell Ge gradients result in intricate intensity patterns in the recorded reciprocal space maps, which allow us to study the internal composition of such islands in great detail. TEM investigations revealed the occurrence of so far unknown steep facets for Ge coverages exceeding 30 ML with inclination angles up to 80° with respect to the (001) surface. Finite element method models taking into account the shape and Ge distribution for each individual sample were set up to calculate the strain distributions. In contrast to the oscillatory changes of island shape and average Ge content these calculations show a clear monotonic trend of strain relaxation with increasing Ge coverage. Such highly relaxed SiGe islands can be utilized to induce tensile strains exceeding 1% in Si channels of MOSFETs.
DD14: Sensing Applications
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 2, Room 207
9:30 AM - *DD14.01
Optical Biosensing with Nanostructured Porous Silicon Multilayers
Michael J Sailor 1
1University of California San Diego La Jolla USA
Show AbstractThe chemistry and electrochemistry of nanoporous silicon can be manipulated to allow the material to collect, concentrate, and detect chemical and biological material with high fidelity. The pore dimensions are controlled by the current used in the etch[1], allowing the construction of stratified “nanoreactors” in which enzyme compartmentalization [2], reagent delivery [3], protein separation [4, 5], and magnetic manipulation [6] can be performed. The same electrochemical process allows the fabrication of optical nanostructures that can be used to report on the presence of chemical or biological compounds without the use of labels. This work will discuss methods to prepare and characterize the porous multilayers, along with the optical interferometric means of monitoring the nanostructures. The interface between porous Si and bacterial and mammalian cells will also be described. References [1] M. J. Sailor, Porous Silicon in Practice: Preparation, Characterization, and Applications, Wiley-VCH, Weinheim, Germany 2012. [2] J. C. Thomas, C. Pacholski, M. J. Sailor, Lab Chip 2006, 6, 782 [3] J. R. Dorvee, A. M. Derfus, S. N. Bhatia, M. J. Sailor, Nature Mater. 2004, 3, 896. [4] C. Pacholski, M. Sartor, M. J. Sailor, F. Cunin, G. M. Miskelly, J. Am. Chem. Soc. 2005, 127, 11636. [5] M. M. Orosco, C. Pacholski, M. J. Sailor, Nature Nanotech. 2009, 4, 255 [6] J. R. Dorvee, M. J. Sailor, G. M. Miskelly, Dalton Trans. 2008, 721
10:00 AM - DD14.02
Silicon Platform for Mid-infrared Optofluidic Sensors
Pao Lin 1 Hao-Yu Greg Lin 2 Vivek Singh 1 Neil Sunil Patel 1 Lionel Kimerling 1 Anuradha Murthy Agarwal 1
1MIT Cambridge USA2Harvard University Cambridge USA
Show AbstractMid-Infrared optofluidics based silicon sensor platforms in which, planar optical devices are fabricated on silicon-on oxide (SOI) wafer, and the microfluidic channels are made by molded polydimethylsiloxane (PDMS) are demonstrated. Silicon is a great candidate for mid-infrared optofluidics for the following reasons: (1) Silicon has a broad transmission window up to 9 um (2) Silicon offers CMOS compatible and monolithic fabrication (3) Silicon has high chemical resistance that can withstand high temperature, acid/base solution and organic solvents. (4) Silicon is a non-toxic environmentally friendly material. The optical components inside the microfluidic chamber consist of straight optical waveguides, micro-ring resonators and photonic crystals with strong light resonance effects which can improve the sensor detectivity. From the finite difference time domain (FDTD) simulation, a quality factor Q up to 104 is observed for these resonators in the wavelength range 2 um to 4 um, significantly increasing the mid-infrared absorption by the biochemical analyte approaches the optical micro-resonators within the optofluidic channel. The fabricated mid-infrared optofluidic sensor can replace bulky instruments, such as FTIR, with a lab-on-a-chip system, while achieving much higher sensitivity.
10:15 AM - DD14.03
High-density Capacitive Pillar Arrays for High Fidelity Neural Sensors
Yoontae Hwang 1 Jennifer L. Schei 2 John S. George 2 Tom Picraux 1 Shadi A. Dayeh 1
1Los Alamos National Laboratory Los Alamos USA2Los Alamos National Laboratory Los Alamos USA
Show AbstractElectrode arrays for neural imaging lack the resolution and density to map the activity of large populations of individual neurons. Microfabricated silicon arrays additionally lack durability when employed for chronic in-vivo measurements. Electro-neural interfaces for in-vivo measurements typically utilize direct current probes; some can sense in 3D but most are bulky and subject to redox reactions in the physiological medium and encapsulation and insulation in tissue. Biocompatible capacitive sensors address many limitations of conventional microelectrode arrays, but have been restricted to planar geometries thus far, not suitable for in-vivo measurements in layered tissues such as cortex. Here, we present a novel capacitive neural-sensor technique that bypasses direct charge interaction between the electrode arrays and neurons, and enables sub-cellular and sub-threshold neural activity detection with potential for high-fidelity electro-neural interfaces. For high-density/resolution neural probes, electrical isolation and individual addressability becomes critical. In order to achieve individual addressability in our high-density electrodes, the Si-based nano-pillar arrays need to be integrated on insulating substrates such as sapphire, glass, flexible substrates, etc. To integrate our Si nanopillars on insulating substrates, we invented a novel all-solid state wafer bonding technique that allowed integration of our nano-pillar arrays to patterned (electrically isolated) electrode leads on sapphire substrates. A combination of photolithography and e-beam lithography was used to achieve Si pillars on individual electrical leads and that benefit from the high surface area in a small footprint on our neurophysiology platform. This is followed by depositing a 5 nm thick HfO2 layer by atomic layer deposition (ALD) and a 300 nm PECVD deposited SiO2 to minimize cross talk between adjacent electrodes and their leads. A frog&’s retinal membrane was deposited atop our electrodes, and a 500 ms light pulse was used to stimulate a large number of photoreceptors. Our electrodes were able to measure neurophysiological response (electroretinogram) in real time over a large area.
10:30 AM - DD14.04
Scanning Transmission X-Ray Microscopy of Bio-functional Coatings on Silicon Nanowires
Jonathan Lee 1 Alex Noy 1 Michael Bagge-Hansen 1 Ramya Tunugantla 1 Mangesh Bangar 2 David Kilcoyne 2 Tony van Buuren 1
1Lawrence Livermore National Laboratory Livermore USA2Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractNature has evolved a set of sophisticated biological machines for accomplishing molecular-level tasks including membrane receptors, channels, and pumps. Development in nanoscale engineering has enabled bioelectronics that can mimic and/or interact with these biological systems. Bio-functionalized Si nanowires are thought to be a promising candidate for the construction of electrochemical devices. We have developed and demonstrated assembly of 1-D phospholipid bilayers on a variety of nanomaterials, including silicon nanowires. These biomimetic lipid bilayers serve as a general host matrix for bio-functional components such as membrane proteins. Though meaningful technological advancement of these materials has been made, critical questions about the structural and chemical composition remain. We present results from the first Scanning Transmission X-ray Microscopy (STXM) investigation of 1D lipid bilayers on silicon nanowires. STXM provides the high spatial resolution, chemical selectivity and the ability to probe a liquid system needed to investigate the structure of these bio-nanomaterials. In STXM a focused x-ray beam produced by a zone plate illuminates a sample and we then collect the subsequent transmitted x-rays. The transmitted intensity can be measured as a function of energy to give high spatial resolution element specific x-ray absorption spectra, or as a function of beam position to produce x-ray images. Si NWs in a liquid suspension can be clearly imaged at an x-ray energy near the Si K-absorption edge. We were also able to measure the C and P XAS associated with a phospholipid bilayer on the surface of a single Si nanowire. Polarization effects observed in the C XAS have been used to probe the order and orientation of the lipid bilayer. STXM experiments were conducted at beamline 5.3.2.1 of the Advanced Light Source Lawrence Berkeley Laboratory.
10:45 AM - DD14.05
Silicon-based Planar Integrated Plasmonic Mid-IR Spectrometer
Robert E Peale 1 Farnood K Rezaie 1 Imen Rezadad 1 Javaneh Boroumand 1 Mehmet Yesiltas 1 Evan Smith 1 Monas Shahzad 1 Pedro Figueiredo 1 Janardan Nath 1 Gautam Medhi 1 Justin W Cleary 2 Walter R Buchwald 3 Chris J Fredricksen 4
1University of Central Flordia Orlando USA2Air Force Research Lab Wright Patterson AFB USA3Solid State Scientific Corp Hollis USA4LRC Engineering, Inc. Orlando USA
Show AbstractA compact spectrometer-on-a-chip featuring a plasmonic molecular interaction region has been conceived, designed, modeled, and partially fabricated. The silicon-on-insulator (SOI) system is the chosen platform for the integration. The low loss of both silicon and SiO2 between 3 and 4 microns wavelength enables Si waveguides on SiO2 as the basis for molecular sensors at these wavelengths. Important characteristic molecular vibrations occur in this range, namely the bond stretching modes C-H (Alkynes), O-H (monomeric alcohols, phenols) and N-H (Amines), as well as CO double bonds, NH2, and CN. The device consists of a broad-band infrared LED, photonic waveguides, photon-to-plasmon transformers, a molecular interaction region, dispersive structures, and detectors. Photonic waveguide modes are adiabatically converted into SPPs on a neighboring metal surface by a tapered waveguide. The plasmonic interaction region enhances optical intensity, which allows a reduction of the overall device size without a reduction of the interaction length, in comparison to ordinary optical methods. After the SPPs propagate through the interaction region, they are converted back into photonic waveguide modes by a second taper. The dispersing region consists of a series of micro-ring resonators with photodetectors coupled to each resonator. Design parameters were optimized via electro-dynamic simulations. Fabrication was performed using a combination of photo- and electron-beam-lithography together with standard silicon processing techniques. This work is coupled with investigations of potential infrared SPP-host materials for the interaction region, such as heavily-doped Si, the semimetals Bi and Sb, and metal germanides.
DD15: Photonic Devices
Session Chairs
Thursday AM, November 29, 2012
Hynes, Level 2, Room 207
11:30 AM - *DD15.01
Optimizing and Extending Horizontal Slot Disk Resonator Based Biosensors
Jung H. Shin 1
1KAIST Daejeon Republic of Korea
Show AbstractOptical sensors have had a remarkable success in biological, chemical, and environmental applications. The use of light enables it to operate in aqueous environment, where much of the interesting bio-chemical activity occurs, free from electromagnetic interferences, both to and by the sensing signal. Recently, there has been particular interest in developing small, label-free optical biosensors that have low enough of cost to justify one-time use for wide-scale applications. Si photonics, with Si-based materials and process, is ideally suited for such biosensors, and have been used successfully to demonstrate highly compact, and sensitive optical biosensors. However, the very properties of Si that allow for such compactness can also represent serious problems, as Si is transparent only in the near-IR region, where the absorption be water can be very large. Furthermore, such compactness arises due to confinement of much of the light in the high-index, Si core, thus reducing the sample-mode overlap necessary for high sensitivity. Recently, we have reported that by using SiN microdisks with horizontal slots, we can overcome such problems to achieve a very high sample-mode overlap in a compact, Si-based device. Here, we report on optimizing the SiN horizontal slot disk structure, and expanding its capabilities. We find that the slot-structure is well-suited for surface sensing, where its high degree of photon confinement can be utilized, but not for homogeneous sensing. Simulations indicate that by careful optimization of dimensions and structure, 2-3 fold further increase in the sensitivity is possible. We also show that by utilizing selective etching, it is possible to create self-luminescent, horizontal-slot microdisk biosensors in the visible region with a self-aligned nanocrystal Si light emitting layer. In such a case, however, the effect of photon confinement needs to be optimized against light absorption by the SiN microdisks. Further enhancement of the performance by integrating the biosensor with detection / microfluidic apparatus, and developing hybrid slot structures will also be discussed.
12:00 PM - *DD15.02
Low Mode Volume Active Regions in Silicon Photonic Cavities for Low Power, Ultrafast Switching
Sharon M Weiss 1
1Vanderbilt University Nashville USA
Show AbstractSilicon-based optical modulators have received considerable attention over the past several years in the effort to replace interconnects and other electronic components with optical devices and architectures. Despite several advances, silicon optical modulators still face a number of limitations including speed, losses, robustness to environmental factors, and power consumption. Due to these enduring challenges, there has recently been increased interest in hybrid silicon material platforms that may improve key performance metrics. This talk will focus on low mode volume hybrid silicon configurations that are capable of supporting optical signal modulation with faster performance and lower power requirements than devices fabricated from conventional silicon devices. The specific active material incorporated on the silicon platform that will be addressed is vanadium dioxide. Vanadium dioxide is a particularly attractive candidate hybrid material that is well known for its reversible semiconductor-to-metal transition that results in large changes in resistivity, near-infrared transmission, and refractive index (~1.96 to 3.25). The phase transition can be triggered by temperature, strain, optical excitation, or electric field actuation. In the case of pulsed optical excitation, the phase transition has been shown to occur on time-scales less than 100 fs, offering the promise of optical modulation at THz speeds. In the first configuration to be discussed, a small area of vanadium dioxide, ~0.28 mu;m2, is selectively deposited as the active region on an ultra-compact silicon ring resonator with a low mode volume of ~1 mu;m3. Through optical pumping, greater than 10 dB modulation was achieved. For the proof-of-concept photothermally switched hybrid structures, the minimum energy required for switching is estimated to be ~3 pJ. For ultra-fast all-optical switching of the hybrid silicon-vanadium dioxide ring resonator, the minimum energy required is predicted to be ~190 fJ/bit. An alternate, lower mode volume configuration for hybrid silicon-vanadium dioxide photonic components will also be discussed: a slotted photonic crystal nanobeam cavity with an ultra-small effective mode volume of ~0.025(lambda;/n)3. The reduced optical mode volume enables smaller regions of an active material, such as vanadium dioxide, to be required due to the increased fractional perturbation to the cavity mode upon actuation, thus leading to reduced power thresholds on smaller footprint devices for optical switching applications.
12:30 PM - DD15.03
Spectral Modulation of Photoluminescence in a Nonspecular Fabry-Perot Silicon Cavity
Susumu Fukatsu 1 Fuminori Omura 1 Yuhsuke Yasutake 1
1University of Tokyo at Komaba Meguro Japan
Show AbstractBackscattering is most frequently used to capture photoluminescence (PL) from semiconducting materials. However, difficulties may arise in interpreting the PL spectra in the presence of interference fringes. This occurs when a large refractive index step is established between the active layer and substrate because a Fabry-Perot cavity automatically forms with the air/surface interface as a second mirror. For Si-based structures, such spectral modulation of backscatter PL is typically observed from the device layer of a silicon-on-insulator substrate. In contrast, a normal Si substrate that has a nonspecular, rough rear surface is generally thought to suffer less. This is because coherence of light rays is prone to be lost upon reflection off rough interface, and for this reason Si substrates are often regarded as optically semi-infinite. Here we report the development of nontrivial Fabry-Perot fringes that become clearly observable in the backscatter PL for a Si wafer with a rough rear surface. A thin luminescent film, i.e., SiGe quantum well (QW) embedded in Si gave rise to FP fringes with visibility amounting to 0.2 at room temperature. Being ubiquitous, such deep spectral modulation is most likely to influence the spectral assignment of PL, which motivates us to explore further to gain control over it. Study has shown that the underlying physics is simple but even counterintuitive. In fact, there is nothing in the sample forming a cavity or no trivial light path of easy closure that provides a free spectral range corresponding to the QW-surface distance, 3.5mu;m, unless we consider reflection off the rear face, which is very rough as judged from significant speckles in light scattering experiment. Furthermore, the index step at the QW/Si interface is too small to account for the visibility, in consistency with the absence of FP fringes in transmittance and reflectance spectra. We are therefore led to conclude that the “rough” rear surface is even capable of coherently reflecting light fields so that one of the two arms of light is delayed with respect to the other by the QW-surface distance after they have made a “detour” round-trip along the substrate thickness direction. Of physical interest here is that such unusual “detour” FP interference occurs for a built-in light source only, which in part explains why nontrivial FP fringes has not been evident so far. More importantly, that the indirect-gap Si is only weakly absorbing has played a role. On the other hand, coherent reflection off a rough surface has a profound meaning because it provides an easy way towards cavity formation by making the mirror finish requirement for cavity end mirrors insignificant. This holds a promise for realizing light emitting devices with Si or Ge cladding.
12:45 PM - DD15.04
Tunable Localized Surface Plasmon Resonances in Silicon Nanowires
Li-Wei Chou 1 Naechul Shin 1 Saujan V Sivaram 1 Michael A Filler 1
1Georgia Institute of Technology Atlanta USA
Show AbstractLocalized surface plasmon resonances (LSPRs) in semiconductors offer new opportunities to engineer the interaction of electromagnetic radiation with solid-state materials. Importantly, the carrier density of semiconductors, and thus LSPR frequency, can be modulated via chemical doping and/or electric field. This capability is a significant departure from the fixed carrier densities of traditional plasmonic metals (e.g. Ag and Au) and promises novel active devices. In addition, the direct integration of plasmonic and excitonic behavior opens the door to fundamentally distinct functionality. Here, we demonstrate and systematically control mid-IR LSPRs in Si nanowires for the first time. Highly aligned Si nanowires are synthesized via the vapor-liquid-solid (VLS) technique with a combination of Si and P-containing precursors. Electron microscopy shows that the nanowires are single crystalline and <111> oriented with very few lattice defects. In-situ infrared spectroscopy measurements reveal intense polarization and length dependent absorption bands only for the P-doped nanowires, which we assign to longitudinal LSPRs. The LSPR frequency can be tuned (740 - 1620 cm-1) by varying nanowire length (135 - 1160 nm). Mie-Gans theory supports our experimental results and indicates that electrically active dopant concentrations are near 3 × 1020 cm-3 for all nanowire lengths. A series of control experiments confirm that the observed modes result from P doping rather than the Au catalyst droplet or sidewall termination. Our findings open a new route to engineer the properties of this ubiquitous semiconductor and also highlight the importance of understanding the chemical phenomena that govern bottom-up nanostructure synthesis.